找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1339|回复: 0
打印 上一主题 下一主题
收起左侧

实现单片机步进电机功能第一个模块,大家帮忙看看还缺什么改进一下

[复制链接]
跳转到指定楼层
楼主
ID:356821 发表于 2018-6-22 18:50 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
ibrary ieee
use ieee. std_logic-1164. All
use ieee. std_logic-arith all
use ieee. std logic-uns igned. All
entity freq is
port(clk-sys : in std_logic

clk_new : out std_logic)

end freq

circhitecture one of freq is
signal set : std_logic

signal cnt : std_logic-vector ( 17 downto O )begin

process ( clk_sys)

begin

if set ='1'then
cnt ="011111111111111111"
'elsif clk-sys'event and clk_sys = '1'then

Cnt = cnt-1

end if
end process
set« = cnt( 17)
clk new = cnt ( 16)
end one


分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表