找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 4023|回复: 0
打印 上一主题 下一主题
收起左侧

51单片机六位密码锁(源程序,proteus硬件仿真)

[复制链接]
跳转到指定楼层
楼主
ID:934388 发表于 2021-6-7 18:23 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
电子密码锁使用说明

一、        主要功能:
1.按键设置6位密码,输入密码若密码正确,则锁打开。显示open!
2.密码可以自己修改(6位密码),必须是锁打开时才能改密。为防止误操作,修改密码得输入两次。
3.若密码输入错误次数超过3次,蜂鸣器报警并且锁定键盘。
4.24C02有复位、掉电保存密码功能。
5.液晶屏亮度会随光线自动调整。
6.本设计有红外遥控的功能,可以通过红外遥控器输入密码操作锁的状态(数字键是对应的,C键是重设密码,中间的播放图标的按键是确认,后退箭头的按键是撤销键)
二、按键说明:(主板)
排列方式:1  2  3  A
  4  5  6  B
  7  8  9  C
  *  0  #  D
   ABC无定义、 *号键为取消当前操作、#号键为确认、D键为修改密码
三、调整说明:
1、        灰度调整:当焊接好后液晶屏可能会“无字”。这是调节液晶3脚与地之间的电位器阻值应为1.3k左右,液晶3脚与电源之间的电位器阻值应为8.7k左右。(如果是电阻,那就是1与3脚是1.5k,2与3脚是10k的电阻)
2、        自己焊接的电路,首次使用时输入:131420,对密码进行初始化,当显示:init password,证明密码初始化完成,此时的密码为:000000。然后可以改密了。(如密码忘记就再输入131420初始化,然后密码就是000000了,不要告诉别人哦~~~)
3、        按下 * 键或复位键,可以关锁并退出。
4、        当输入密码错误后,报警并锁定键盘3秒钟。
5、        修改密码:在开锁状态下,再次输入正确的密码并按下 # 键,此时听到两声提示,输入新的六位密码并按 D 键,再重复输入一次新密码并按 D ,会听到两声提示音,表示重设密码成功,内部保存新密码并存储。如两次输入的新密码不一样,则重设密码失败。
6、        祝您成功!


  1. #include <REG52.h>
  2. #include<intrins.h>
  3. #define LCM_Data  P0
  4. #define uchar unsigned char
  5. #define uint  unsigned int

  6. sbit lcd1602_rs=P2^7;
  7. //sbit lcd1602_rw=P2^6;
  8. sbit lcd1602_en=P2^6;

  9. sbit Scl=P2^0;                        //24C02串行时钟
  10. sbit Sda=P2^1;                        //24C02串行数据

  11. sbit ALAM = P3^6;                //报警        
  12. sbit KEY = P3^2;                //开锁


  13. bit  operation=0;                //操作标志位
  14. bit  pass=0;                        //密码正确标志
  15. bit  ReInputEn=0;                //重置输入充许标志        
  16. bit  s3_keydown=0;                //3秒按键标志位
  17. bit  key_disable=0;                //锁定键盘标志

  18. unsigned char countt0,second;        //t0中断计数器,秒计数器

  19. //解码变量
  20. unsigned char Im[4]={0x00,0x00,0x00,0x00};


  21. //全局变量
  22. uchar f;
  23. unsigned long m,Tc;
  24. unsigned char IrOK;


  25. unsigned char code a[]={0xFE,0xFD,0xFB,0xF7};                                                                                         //控盘扫描控制表

  26. unsigned char code start_line[]        = {"password:       "};
  27. unsigned char code name[]                  = {"===Coded Lock==="};                                                                                                //显示名称
  28. unsigned char code Correct[]         = {"     correct    "};                                                                                         //输入正确
  29. unsigned char code Error[]           = {"      error     "};                                                                                          //输入错误
  30. unsigned char code codepass[]        = {"      pass      "};
  31. unsigned char code LockOpen[]        = {"      open      "};                                                                                                //OPEN
  32. unsigned char code SetNew[]         = {"SetNewWordEnable"};
  33. unsigned char code Input[]           = {"input:          "};                                                                                                //INPUT
  34. unsigned char code ResetOK[]         = {"ResetPasswordOK "};
  35. unsigned char code initword[]        = {"Init password..."};
  36. unsigned char code Er_try[]                = {"error,try again!"};
  37. unsigned char code again[]                = {"input again     "};

  38. unsigned char InputData[6];                                                                                                                                //输入密码暂存区
  39. unsigned char CurrentPassword[6]={1,3,1,4,2,0};                                                                                                                 //当前密码值
  40. unsigned char TempPassword[6];
  41. unsigned char N=0;                                //密码输入位数记数
  42. unsigned char ErrorCont;                        //错误次数计数
  43. unsigned char CorrectCont;                        //正确输入计数
  44. unsigned char ReInputCont;                         //重新输入计数
  45. unsigned char code initpassword[6]={0,0,0,0,0,0};


  46. //=====================5ms延时==============================
  47. void Delay5Ms()
  48. {
  49.         unsigned int TempCyc = 5552;
  50.         while(TempCyc--);
  51. }        

  52. //===================400ms延时==============================
  53. void Delay400Ms()
  54. {
  55. unsigned char TempCycA = 5;
  56. unsigned int TempCycB;
  57. while(TempCycA--)
  58. {
  59.   TempCycB=7269;
  60.   while(TempCycB--);
  61. }
  62. }

  63. //=============================================================================================
  64. //================================24C02========================================================
  65. //=============================================================================================

  66. void mDelay(uint t) //延时
  67. {
  68.         uchar i;
  69.            while(t--)
  70.            {
  71.                    for(i=0;i<125;i++)
  72.                    {;}
  73.            }
  74. }
  75.    

  76. void Nop()                  //空操作
  77. {
  78.          _nop_();
  79.          _nop_();
  80.          _nop_();
  81.          _nop_();
  82. }


  83. /*起始条件*/

  84. void Start(void)
  85. {
  86.          Sda=1;
  87.          Scl=1;
  88.          Nop();
  89.          Sda=0;
  90.          Nop();
  91. }


  92. /*停止条件*/
  93. void Stop(void)
  94. {
  95.          Sda=0;
  96.          Scl=1;
  97.          Nop();
  98.          Sda=1;
  99.          Nop();
  100. }

  101. /*应答位*/
  102. void Ack(void)
  103. {
  104.         Sda=0;
  105.         Nop();
  106.         Scl=1;
  107.         Nop();
  108.         Scl=0;
  109. }

  110. /*反向应答位*/
  111. void NoAck(void)
  112. {
  113.          Sda=1;
  114.          Nop();
  115.          Scl=1;
  116.          Nop();
  117.          Scl=0;
  118. }        

  119. /*发送数据子程序,Data为要求发送的数据*/
  120. void Send(uchar Data)
  121. {
  122.            uchar BitCounter=8;
  123.            uchar temp;
  124.            do
  125.            {
  126.                    temp=Data;
  127.                    Scl=0;
  128.                    Nop();
  129.                    if((temp&0x80)==0x80)
  130.                    Sda=1;
  131.                    else
  132.                    Sda=0;
  133.                    Scl=1;
  134.                    temp=Data<<1;
  135.                    Data=temp;
  136.                    BitCounter--;
  137.            }
  138.            while(BitCounter);
  139.            Scl=0;
  140. }

  141. /*读一字节的数据,并返回该字节值*/
  142. uchar Read()
  143. {
  144.     uchar temp=0;
  145.         uchar temp1=0;
  146.         uchar BitCounter=8;
  147.         Sda=1;
  148.         do{
  149.         Scl=0;
  150.         Nop();
  151.         Scl=1;
  152.         Nop();
  153.         if(Sda)
  154.         temp=temp|0x01;
  155.         else
  156.         temp=temp&0xfe;
  157.         if(BitCounter-1)
  158.         {
  159.         temp1=temp<<1;
  160.         temp=temp1;
  161.         }
  162.         BitCounter--;
  163.         }
  164.         while(BitCounter);
  165.         return(temp);
  166.         }

  167. void WrToROM(uchar Data[],uchar Address,uchar Num)
  168. {
  169.   uchar i;
  170.   uchar *PData;
  171.   PData=Data;
  172.   for(i=0;i<Num;i++)
  173.   {
  174.   Start();
  175.   Send(0xa0);
  176.   Ack();
  177.   Send(Address+i);
  178.   Ack();
  179.   Send(*(PData+i));
  180.   Ack();
  181.   Stop();
  182.   mDelay(20);
  183.   }
  184. }

  185. void RdFromROM(uchar Data[],uchar Address,uchar Num)
  186. {
  187.   uchar i;
  188.   uchar *PData;
  189.   PData=Data;
  190.   for(i=0;i<Num;i++)
  191.   {
  192.   Start();
  193.   Send(0xa0);
  194.   Ack();
  195.   Send(Address+i);
  196.   Ack();
  197.   Start();
  198.   Send(0xa1);
  199.   Ack();
  200.   *(PData+i)=Read();
  201.   Scl=0;
  202.   NoAck();
  203.   Stop();
  204.   }
  205. }


  206. //==================================================================================================
  207. //=======================================LCD1602====================================================
  208. //==================================================================================================

  209. #define yi 0x80 //LCD第一行的初始位置,因为LCD1602字符地址首位D7恒定为1(100000000=80)
  210. #define er 0x80+0x40 //LCD第二行初始位置(因为第二行第一个字符位置地址是0x40)


  211. //----------------延时函数,后面经常调用----------------------
  212. void delay(uint xms)//延时函数,有参函数
  213. {
  214.         uint x,y;
  215.         for(x=xms;x>0;x--)
  216.          for(y=110;y>0;y--);
  217. }

  218. //--------------------------写指令---------------------------
  219. void write_1602com(uchar com)//****液晶写入指令函数****
  220. {
  221.         lcd1602_rs=0;//数据/指令选择置为指令
  222. //        lcd1602_rw=0; //读写选择置为写
  223.         P0=com;//送入数据
  224.         delay(1);
  225.         lcd1602_en=1;//拉高使能端,为制造有效的下降沿做准备
  226.         delay(1);
  227.         lcd1602_en=0;//en由高变低,产生下降沿,液晶执行命令
  228. }

  229. //-------------------------写数据-----------------------------
  230. void write_1602dat(uchar dat)//***液晶写入数据函数****
  231. {
  232.         lcd1602_rs=1;//数据/指令选择置为数据
  233. //        lcd1602_rw=0; //读写选择置为写
  234.         P0=dat;//送入数据
  235.         delay(1);
  236.         lcd1602_en=1; //en置高电平,为制造下降沿做准备
  237.         delay(1);
  238.         lcd1602_en=0; //en由高变低,产生下降沿,液晶执行命令
  239. }

  240. //-------------------------初始化-------------------------
  241. void lcd_init()
  242. {
  243.         write_1602com(0x38);//设置液晶工作模式,意思:16*2行显示,5*7点阵,8位数据
  244.         write_1602com(0x0c);//开显示不显示光标
  245.         write_1602com(0x06);//整屏不移动,光标自动右移
  246.         write_1602com(0x01);//清显示
  247. }
  248. //========================================================================================
  249. //=========================================================================================




  250. //==============将按键值编码为数值=========================
  251. unsigned char coding(unsigned char hh)         
  252. {
  253.         unsigned char k;

  254.                 switch(hh)
  255.                 {
  256.                         case (0): k=1;break;
  257.                         case (1): k=2;break;
  258.                         case (2): k=3;break;
  259.                         case (3): k='A';break;
  260.                         case (4): k=4;break;
  261.                         case (5): k=5;break;
  262.                         case (6): k=6;break;
  263.                         case (7): k='B';break;
  264.                         case (8): k=7;break;
  265.                         case (9): k=8;break;
  266.                         case (10): k=9;break;
  267.                         case (11): k='C';break;
  268.                         case (12): k='*';break;
  269.                         case (13): k=0;break;
  270.                         case (14): k='#';break;
  271.                         case (15): k='D';break;
  272.                 }


  273.         return(k);
  274. }




  275. unsigned char KeyMemory;

  276. //按键行定义
  277. sbit KeyLine_1        =        P1^7;
  278. sbit KeyLine_2        =        P1^5;
  279. sbit KeyLine_3        =        P1^3;
  280. sbit KeyLine_4        =        P1^1;
  281. //按键列定义        
  282. sbit Keylist_1        =        P1^0;        
  283. sbit Keylist_2        =        P1^2;        
  284. sbit Keylist_3        =        P1^4;        
  285. sbit Keylist_4        =        P1^6;        
  286. void KeyOut(unsigned char i)
  287. {
  288.         KeyLine_1 = 1;
  289.         KeyLine_2 = 1;
  290.         KeyLine_3 = 1;
  291.         KeyLine_4 = 1;
  292.         switch(i)
  293.         {
  294.                 case 0: KeyLine_1 = 0; break;
  295.                 case 1: KeyLine_2 = 0; break;
  296.                 case 2: KeyLine_3 = 0; break;
  297.                 case 3: KeyLine_4 = 0; break;
  298.         }        
  299. }

  300. unsigned char KeyIn(unsigned char i)
  301. {
  302.         static unsigned char a=1;
  303.         switch(i)
  304.         {
  305.                 case 0: a=Keylist_1; break;
  306.                 case 1: a=Keylist_2; break;
  307.                 case 2: a=Keylist_3; break;
  308.                 case 3: a=Keylist_4; break;
  309.         }        
  310.         return a;
  311. }
  312. //========================================================================
  313. // 函数: u8 update_key(void)                                                                                                        
  314. // 应用: key=u8 update_key();                        
  315. // 描述: 扫描并返回按下的按键值,                              
  316. // 参数:                                             
  317. // 返回: 按下的键值,0-15;无按下返回0xff;               
  318. // 版本: VER1.0                                       
  319. // 日期: 2013-4-1                                    
  320. // 备注:
  321. //========================================================================
  322. unsigned char keynum(void)
  323. {
  324.         unsigned char key_rt=0xff;//按键返回值
  325.         unsigned char i, j;
  326.         for(i = 0; i < 4; i++)             //i是输出口,依次置高电平
  327.         {
  328.                 KeyOut(i);
  329.                 for(j = 0; j < 4; j++)            //j是输入口,当键按下时导通被置为高电平  //在1路输出高电平的时候输入扫描,并储存
  330.                 {
  331.                         if(KeyIn(j) == 0)                //如果有按键按下
  332.                         {
  333.                                 Delay5Ms();
  334.                                 Delay5Ms();
  335.                                 if(KeyIn(j) == 0)                //如果有按键按下
  336.                                 {
  337.                                         KeyMemory=(1<<i)*16+(1<<j);
  338.                                 }
  339.                         }
  340.                         while(KeyIn(j) == 0);        //松手检测,假如有按键按下则等待,没有按下或松开则通过
  341.                 }
  342.         }
  343.         for(i = 0; i < 4; i++)         
  344.         {
  345.                 if((KeyMemory/16)>>i==0x01)
  346.                 {
  347.                         for(j = 0; j < 4; j++)           
  348.                         {        
  349.                                 if((KeyMemory%16)>>j==0x01)
  350.                                 {
  351.                                         KeyMemory=0;
  352.                                         key_rt=i*4+j;
  353.                                 }
  354.                         }
  355.                 }
  356.         }
  357.         return key_rt;
  358. }



  359. //=======================一声提示音,表示有效输入========================
  360. void OneAlam()
  361. {
  362.         ALAM=0;
  363.         Delay5Ms();
  364.     ALAM=1;
  365. }

  366. //========================二声提示音,表示操作成功========================
  367. void TwoAlam()
  368. {
  369.         ALAM=0;
  370.         Delay5Ms();
  371.     ALAM=1;
  372.     Delay5Ms();
  373.         ALAM=0;
  374.         Delay5Ms();
  375.     ALAM=1;
  376. }

  377. //========================三声提示音,表示错误========================
  378. void ThreeAlam()
  379. {
  380.         ALAM=0;
  381.         Delay5Ms();
  382.     ALAM=1;
  383.     Delay5Ms();
  384.         ALAM=0;
  385.         Delay5Ms();
  386.     ALAM=1;
  387.     Delay5Ms();
  388.         ALAM=0;
  389.         Delay5Ms();
  390.     ALAM=1;

  391. }


  392. //=======================显示提示输入=========================
  393. void DisplayChar()
  394. {
  395.         unsigned char i;
  396.         if(pass==1)
  397.         {
  398.                 write_1602com(er);
  399.                 for(i=0;i<16;i++)
  400.                 {
  401.                         write_1602dat(LockOpen[i]);        
  402.                 }
  403.         }
  404.         else
  405.         {
  406.                 if(N==0)
  407.                 {
  408.                         write_1602com(er);
  409.                         for(i=0;i<16;i++)
  410.                         {
  411.                                 write_1602dat(Error[i]);        
  412.                         }
  413.                 }
  414.                 else
  415.                 {
  416.                         write_1602com(er);
  417.                         for(i=0;i<16;i++)
  418.                         {
  419.                                 write_1602dat(start_line[i]);        
  420.                         }
  421.                 }
  422.         }
  423. }


  424. //========================重置密码==================================================
  425. //==================================================================================
  426. void ResetPassword()
  427. {
  428.         unsigned char i;        
  429.         unsigned char j;
  430.         if(pass==0)
  431.         {
  432.                 pass=0;
  433.                 DisplayChar();
  434.                 ThreeAlam();
  435.         }
  436.         else
  437.         {
  438.             if(ReInputEn==1)
  439.                 {
  440.                         if(N==6)
  441.                         {
  442.                                 ReInputCont++;                                
  443.                                 if(ReInputCont==2)
  444.                                 {
  445.                                         for(i=0;i<6;)
  446.                                         {
  447.                                                 if(TempPassword[i]==InputData[i])        //将两次输入的新密码作对比
  448.                                                         i++;
  449.                                                 else
  450.                                                 {
  451.                                                         write_1602com(er);
  452.                                                         for(j=0;j<16;j++)
  453.                                                         {
  454.                                                                 write_1602dat(Error[j]);        
  455.                                                         }
  456.                                                         ThreeAlam();                        //错误提示        
  457.                                                         pass=0;
  458.                                                         ReInputEn=0;                        //关闭重置功能,
  459.                                                         ReInputCont=0;
  460.                                                         DisplayChar();
  461.                                                         break;
  462.                                                 }
  463.                                         }
  464.                                         if(i==6)
  465.                                         {
  466.                                                 write_1602com(er);
  467.                                                 for(j=0;j<16;j++)
  468.                                                 {
  469.                                                         write_1602dat(ResetOK[j]);        
  470.                                                 }

  471.                                                 TwoAlam();                                //操作成功提示
  472.                                                  WrToROM(TempPassword,0,6);                //将新密码写入24C02存储
  473.                                                 ReInputEn=0;
  474.                                         }
  475.                                         ReInputCont=0;
  476.                                         CorrectCont=0;
  477.                                 }
  478.                                 else
  479.                                 {
  480.                                         OneAlam();
  481.                                         write_1602com(er);
  482.                                         for(j=0;j<16;j++)
  483.                                         {
  484.                                                 write_1602dat(again[j]);        
  485.                                         }                                       
  486.                                         for(i=0;i<6;i++)
  487.                                         {
  488.                                                 TempPassword[i]=InputData[i];                //将第一次输入的数据暂存起来                                                
  489.                                         }
  490.                                 }

  491.                         N=0;                                                //输入数据位数计数器清零
  492.                    }
  493.             }
  494.         }

  495. }



  496. //=======================输入密码错误超过三过,报警并锁死键盘======================
  497. void Alam_KeyUnable()
  498. {
  499.         P1=0x00;
  500.         {
  501.                 ALAM=~ALAM;
  502.                 Delay5Ms();
  503.         }
  504. }


  505. //=======================取消所有操作============================================
  506. void Cancel()
  507. {        
  508.         unsigned char i;
  509.         unsigned char j;
  510.         write_1602com(er);
  511.         for(j=0;j<16;j++)
  512.         {
  513.                 write_1602dat(start_line[j]);        
  514.         }
  515.         TwoAlam();                                //提示音
  516.         for(i=0;i<6;i++)
  517.         {
  518.                 InputData[i]=0;
  519.         }
  520.         KEY=1;                                        //关闭锁
  521.         ALAM=1;                                        //报警关
  522.         operation=0;                        //操作标志位清零
  523.         pass=0;                                        //密码正确标志清零
  524.         ReInputEn=0;                        //重置输入充许标志清零
  525.         CorrectCont=0;                        //密码正确输入次数清零
  526.         ReInputCont=0;                        //重置密码输入次数清零
  527.         s3_keydown=0;
  528.         key_disable=0;
  529.         N=0;                                        //输入位数计数器清零
  530. }


  531. //==========================确认键,并通过相应标志位执行相应功能===============================
  532. void Ensure()
  533. {        
  534.         unsigned char i,j;
  535.         RdFromROM(CurrentPassword,0,6);                                         //从24C02里读出存储密码
  536.     if(N==6)
  537.         {
  538.             if(ReInputEn==0)                                                        //重置密码功能未开启
  539.                 {
  540.                         for(i=0;i<6;)
  541.                            {                                       
  542.                                 if(CurrentPassword[i]==InputData[i])
  543.                                 {
  544.                                         i++;
  545.                                 }
  546.                                 else
  547.                                 {
  548.                                         i=7;                        
  549.                                         ErrorCont++;
  550.                                         if(ErrorCont>=3&&KEY==1)                        //错误输入计数达三次时,报警并锁定键盘
  551.                                         {
  552.                                                 write_1602com(er);
  553.                                                 for(i=0;i<16;i++)
  554.                                                 {
  555.                                                         write_1602dat(Error[i]);        
  556.                                                 }
  557.                                                 Alam_KeyUnable();
  558.                                                 TR0=1;                                //开启定时
  559.                                                 key_disable=1;                        //锁定键盘
  560.                                                 pass=0;
  561.                                                 break;        
  562.                                         }
  563.                                 }  
  564.                         }

  565.                         if(i==6)
  566.                         {
  567.                                 CorrectCont++;
  568.                                 if(CorrectCont==1)                                //正确输入计数,当只有一次正确输入时,开锁,
  569.                                 {
  570.                                         write_1602com(er);
  571.                                         for(j=0;j<16;j++)
  572.                                         {
  573.                                                 write_1602dat(LockOpen[j]);        
  574.                                         }
  575.                                         TwoAlam();                        //操作成功提示音
  576.                                         ErrorCont=0;
  577.                                         KEY=0;                                                                                        //开锁
  578.                                         pass=1;                                                                                        //置正确标志位
  579.                                         TR0=1;                                                                                        //开启定时
  580.                                         for(j=0;j<6;j++)                                                                //将输入清除
  581.                                         {
  582.                                                 InputData[i]=0;
  583.                                         }
  584.                                 }        
  585.                                 else                                                                                                //当两次正确输入时,开启重置密码功能
  586.                                 {
  587.                                         write_1602com(er);
  588.                                         for(j=0;j<16;j++)
  589.                                         {
  590.                                                 write_1602dat(SetNew[j]);        
  591.                                         }
  592.                                         TwoAlam();                                                                            //操作成功提示
  593.                                         ReInputEn=1;                                                                        //允许重置密码输入
  594.                                         CorrectCont=0;                                                                        //正确计数器清零
  595.                                 }
  596.                           }
  597.         
  598.                         else                        //=========================当第一次使用或忘记密码时可以用131420对其密码初始化============
  599.                         {
  600.                                 if((InputData[0]==1)&&(InputData[1]==3)&&(InputData[2]==1)&&(InputData[3]==4)&&(InputData[4]==2)&&(InputData[5]==0))
  601.                                    {
  602.                                         WrToROM(initpassword,0,6);                                 //强制将初始密码写入24C02存储
  603.                                         write_1602com(er);
  604.                                         for(j=0;j<16;j++)
  605.                                         {
  606.                                                 write_1602dat(initword[j]);        
  607.                                         }
  608.                                         TwoAlam();
  609.                                         Delay400Ms();
  610.                                         TwoAlam();
  611.                                         N=0;
  612.                                 }
  613.                                 else
  614.                                 {
  615.                                         write_1602com(er);
  616.                                         for(j=0;j<16;j++)
  617.                                         {
  618.                                                 write_1602dat(Error[j]);        
  619.                                         }
  620.                                          ThreeAlam();                                                                                //错误提示音
  621.                                         pass=0;        
  622.                                 }
  623.                         }
  624.                 }

  625.                 else                                                                                        //当已经开启重置密码功能时,而按下开锁键,
  626.                 {
  627.                         write_1602com(er);
  628.                         for(j=0;j<16;j++)
  629.                         {
  630.                                 write_1602dat(Er_try[j]);        
  631.                         }
  632.                         ThreeAlam();
  633.                 }
  634.         }

  635.         else
  636.         {
  637.                 write_1602com(er);
  638.                 for(j=0;j<16;j++)
  639.                 {
  640.                         write_1602dat(Error[j]);        
  641.                 }

  642.                  ThreeAlam();                                                                                //错误提示音
  643.                 pass=0;        
  644.         }
  645.         
  646.         N=0;                                                                                                        //将输入数据计数器清零,为下一次输入作准备

  647.         operation=1;
  648. }


  649. //==============================主函数===============================
  650. void main()
  651. {
  652.          unsigned char KEY,NUM;
  653.         unsigned char i,j;
  654.          P1=0xFF;
  655.         EA=1;
  656.         TMOD=0x11;
  657.         IT1=1;//下降沿有效
  658.         EX1=1;//外部中断1开
  659.            
  660.         TH0=0;//T0赋初值
  661.         TL0=0;
  662.         TR0=0;//t0开始计时
  663.          TL1=0xB0;
  664.          TH1=0x3C;
  665.          ET1=1;        
  666.          TR1=0;
  667.          Delay400Ms();         //启动等待,等LCM讲入工作状态
  668.          lcd_init();         //LCD初始化
  669.         write_1602com(yi);//日历显示固定符号从第一行第0个位置之后开始显示
  670.         for(i=0;i<16;i++)
  671.         {
  672.                 write_1602dat(name[i]);//向液晶屏写日历显示的固定符号部分
  673.         }
  674.         write_1602com(er);//时间显示固定符号写入位置,从第2个位置后开始显示
  675.         for(i=0;i<16;i++)
  676.         {
  677.                 write_1602dat(start_line[i]);//写显示时间固定符号,两个冒号
  678.         }
  679.         write_1602com(er+9);        //设置光标位置
  680.         write_1602com(0x0f);        //设置光标为闪烁
  681.          Delay5Ms(); //延时片刻(可不要)

  682.          N=0;                                                                                                                //初始化数据输入位数
  683.          while(1)
  684.          {        

  685.                 if(key_disable==1)
  686.                         Alam_KeyUnable();
  687.                 else
  688.                         ALAM=1;                                                                //关报警

  689.                 KEY=keynum();
  690.                 if(KEY!=0xff||IrOK==1)
  691.                 {        

  692.                         if(key_disable==1)
  693.                         {
  694.                                 second=0;
  695.                         }
  696.                         else
  697.                         {
  698.                                 NUM=coding(KEY);
  699.                                 {
  700.                                         switch(NUM)
  701.                                         {
  702.                                                 case ('A'):         ;                                         break;
  703.                                                 case ('B'):                ;                                     break;
  704.                                                 case ('C'):         ;                                         break;
  705.                                                 case ('D'): ResetPassword();                break;      //重新设置密码
  706.                                                 case ('*'): Cancel();                                break;      //取消当前输入
  707.                                                 case ('#'): Ensure();                                 break;           //确认键,
  708.                                                 default:
  709.                                                 {        
  710.                                                         write_1602com(er);
  711.                                                         for(i=0;i<16;i++)
  712.                                                         {
  713.                                                                 write_1602dat(Input[i]);
  714.                                                         }
  715.                                                     operation=0;
  716.                                                         if(N<6)                                                           //当输入的密码少于6位时,接受输入并保存,大于6位时则无效。
  717.                                                         {  
  718.                                                                 OneAlam();                                                                //按键提示音                                                
  719.                                                                  for(j=0;j<=N;j++)
  720.                                                                 {
  721.                                                                         write_1602com(er+6+j);
  722.                                                                         write_1602dat('*');
  723.                                                                 }
  724.                                                                 InputData[N]=NUM;
  725.                                                                 N++;
  726.                                                         }
  727.                                                         else                                                                                //输入数据位数大于6后,忽略输入
  728.                                                         {
  729.                                                                 N=6;
  730.                                                                  break;
  731.                                                         }
  732.                                                 }
  733.                                         }
  734.                                 }
  735.                         }
  736.                  }
  737.         }
  738. }

复制代码
proteus硬件仿真,功能介绍和源程序在链接

全部资料51hei下载地址: 新建文件夹.rar (176.32 KB, 下载次数: 105)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏1 分享淘帖 顶2 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表