找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 4870|回复: 1
打印 上一主题 下一主题
收起左侧

#ERROR: No extended dataflow license exists 新手modelsim使用问题

[复制链接]
回帖奖励 50 黑币 回复本帖可获得 10 黑币奖励! 每人限 1 次
跳转到指定楼层
楼主
之前在quartus 13.1上写的代码在modelsim上仿真跑出来符合要求,因为换了芯片所以删掉了quartus13.1改成了16.0,设置好modelsim altera starter edition 10.4d的路径之后,仿真不出现波形界面,提示信息中也没有看到错误和警告,求各位大佬救救我

错误:# ERROR: No extended dataflow license exists

51hei图片20190910201141.png (30.86 KB, 下载次数: 117)

51hei图片20190910201141.png

51hei图片20190910201218.png (6.37 KB, 下载次数: 121)

51hei图片20190910201218.png
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:779130 发表于 2020-11-23 19:24 | 只看该作者
请问这是为什么呢?我也遇到这样的问题
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表