找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 32956|回复: 63
打印 上一主题 下一主题
收起左侧

单片机12864液晶LCD图文菜单显示控制系统程序

  [复制链接]
跳转到指定楼层
楼主

12864液晶LCD图文菜单的实物图

单片机12864液晶LCD图文菜单的电路图



单片机12864液晶LCD图文菜单显示控制系统程序下载: 单片机12864液晶LCD图文菜单显示控制系统程序.rar (945.76 KB, 下载次数: 713)


12864液晶部分菜单程序预览(完整代码请下载附件)
  1. /**************************************************************************************
  2. 程序名称:
  3.            时间 温度控制系统(128*64LCD+ds1302+ds18b20)
  4. 主要功能:
  5.            温度计 时钟 闹铃 密码锁 篮球器 计算器 温度控制 键盘锁 系统设置等。
  6. 主要说明:
  7.            程序全部定义在一个C文件中。
  8. 作者:   
  9.            LiJH
  10. 时间:   
  11.            2008.6.20
  12. 版本:   
  13.            LiJH-V1.0
  14. **************************************************************************************/

  15. 本图文菜单系统技术交流

  16. **************************************************************************************/


  17. #include    <at89s53.h>
  18. #include    <intrins.h>               
  19. #define     uchar        unsigned char
  20. #define     uint         unsigned int
  21. #define     time_count   0x3cb0
  22. #define     close        1
  23. #define     open         0

  24. /*============ 状态控制及温感器总线定义 ==============*/

  25. #define     b20_io       P0_0                // DS18B20总线          
  26. #define     pw_oc        P3_7                // 密码锁开启控制(黄灯)
  27. #define     buzzer       P0_5                 // 蜂鸣器
  28. #define     lcd_bl       P0_6                // 背光控制口
  29. #define     als_th       P0_4                // 上限温度警报(红灯)
  30. #define     als_tl       P3_6                // 下限温度警报(绿灯)
  31. #define            keyboard     P1                        // 键盘数据口


  32. /*================= ST7920LCD 定义 ===================*/

  33. #define     comm         0                        // lcd指令
  34. #define     dat          1                        // lcd数据
  35. #define     lcd_rst      P0_7                // lcd复位
  36. #define     lcd_e        P3_0                // lcd使能
  37. #define     lcd_rw       P3_1                // lcd读写
  38. #define     lcd_rs       P3_2                // lcd数据命令
  39. #define     busy         P2_7       // 忙碌位
  40. #define     lcd_data     P2                        // lcd(8bit)数据传输

  41.   
  42. /*=============== DS1302 寄存器地址定义 ===============*/

  43. #define                d02_rst      P0_1                // DS1302使能
  44. #define                d02_data     P0_2                // DS1302数据线
  45. #define                d02_sclk     P0_3                // DS1302脉冲
  46. #define     d02_wrc      0x8e                // 1302读写RAM控制(1允许 0禁止)
  47. #define     d02_year         0x8c                // 年
  48. #define     d02_weeks         0x8a                // 星期
  49. #define     d02_month         0x88                // 月
  50. #define     d02_day                 0x86                // 日
  51. #define     d02_hour         0x84                // 时
  52. #define     d02_minute         0x82                // 分
  53. #define     d02_second         0x80                // 秒
  54. #define     d02_signs         0xc0                // 系统状态(记录设置标记)
  55. #define     d02_passw         0xc2                // 密码寄存器首位(有3位下位地址加2,初始值为:741456)
  56. #define     d02_alm                 0xc8                // 闹铃时间小时位(下位为分钟位加2)
  57. #define     d02_temp         0xcc                // 温度上限警报(有两位下位位下限加2)
  58. #define     d02_initsi   0xd4                // 1302初始化标记(为0xb4时,说明已经初始化)
  59. #define     ret_ds1302()    d02_rst=0;d02_sclk=0;d02_rst=1        // 1302读写复位
  60. #define     end_ds1302()    d02_sclk=1;d02_rst=0                        // 1302读写结束



  61. /*================================= 全局变量定义 ==================================*/


  62. typedef        struct                        // 定义时间类型
  63. {
  64.   uchar        hour;
  65.   uchar        minute;
  66.   uchar        second;
  67. }time;

  68. typedef        struct                        // 定义日期类型
  69. {
  70.   uchar        year;
  71.   uchar        month;
  72.   uchar        day;
  73.   uchar        week;
  74. }date;

  75. typedef union                     // 定义温度类型
  76. {
  77.   int temp_data;
  78.   uchar t_data[2];
  79. }trdata;

  80. trdata  bdata  temp;             // 温度警报值暂存       
  81. date    idata  today={'8',1,1,1};         // 日期暂存
  82. time    idata  now={0x12,0,0} , save_time={0x12,0,0};
  83.               // 时间暂存(now是读出时间暂存 save_time上一时间暂存)
  84. uchar   idata  time_tick=20,time_tick1=20,alarm_time[2],als_temp[2],
  85.               // T0中断数,     T1中断数,     闹铃时间,   警报温度
  86.                bl_delay=15,temp_delay=5,opera[2][12],resu[12],
  87.                           // 背光时间,  温度更新时间, 计算器数据寄存, 结果寄存
  88.                            i,j,save1,temp_min=0;
  89.                            // 计算器i/j下标,中间暂存,温度更新(分)



  90. uchar  bdata   signs=0;                 // 系统标记寄存器
  91.   sbit bl1=signs^7;                  // bl1,bl0背光选择位(00 延时,01 常开,10 关闭)
  92.   sbit bl0=signs^6;                       
  93.   sbit di1=signs^5;                         // di1,di0显示模式(00模式1,01模式2,10模式3)
  94.   sbit di0=signs^4;                       
  95.   sbit meu=signs^3;                         // 菜单显示方式(0 图片,1 文字)
  96.   sbit keb=signs^2;                         // 键盘锁(0 无锁,1加锁)
  97.   sbit alm=signs^1;                         // 闹钟启动位(0 关闭,1开启)
  98.   sbit als=signs^0;                         // 报警启动位(0 启动,1关闭)



  99. uint   bdata   run_signs=0;     // 运行标记寄存器
  100.   sbit ds18b20=run_signs^0;                // 温感器存在标记(0不存在,1存在)
  101.   sbit temp_pn=run_signs^1;                // 温度正负号标记(0正,1负)
  102.   sbit temprun=run_signs^2;                // 温感器运行标记(0否,1启动)
  103.   sbit nba_tim=run_signs^3;           // 篮球计时器标记(0关闭,1启动)
  104.   sbit adju_si=run_signs^4;                // 数字更改连续标记(1连续)
  105.   sbit cal_del=run_signs^5;     // 计算器显示点标记(0没有显示)
  106.   sbit cal_run=run_signs^6;            // 计算完毕标记(1有效)
  107.   sbit oth_run=run_signs^7;     // 其他标记
  108.   sbit alm_run=run_signs^8;     // 闹钟时间到达标记(1有效)
  109.   sbit dis_sig=run_signs^9;     // 显示时间标记(1有效)
  110.   sbit lock_op=run_signs^10;    // 关锁时间到标记(1有效)
  111.   sbit b20time=run_signs^11;    // 温感器重新读温度标记(1有效)
  112.   sbit t0_isr =run_signs^12;    // TO中断标记(1有效(1s)
  113.   sbit thl_als=run_signs^13;        // 温度警报标记
  114.   sbit init_d2=run_signs^14;        // 模式2初始显示
  115.   sbit buzstop=run_signs^15;        // 蜂鸣器停止标记




  116. /*================================= 字体显示代码 ==================================*/

  117. uchar code weeks[7][2]={"一","二","三","四","五","六","日"}; // 星期                                //图片中文
  118. uchar code menu_cn[6][8]={"调    整","工    具","设    置","保    密","版    本","退    出"};
  119. uchar code menu_cn_2[6][6]={"调  整","工  具","设  置","保  密","版  本","退  出"};// 菜单文字
  120. uchar code selected[2][16]={{"进入【      】\x04 "},{"设置【    】\x10 \x04 "}}; // 选框
  121. uchar code secrets_menu[4][6]={"开  锁","更  改","初始化","退  出"};           // 保密菜单中文
  122. uchar code tool_menu[5][6]={"计算器","温度计","闹  铃","篮球器","退  出"};           // 工具菜单中文
  123. uchar code char_num[10]={"0123456789"};         // 数字显示代码                                                         // 每月天数
  124. uchar code dayofmonth[12]={0x31,0x28,0x31,0x30,0x31,0x30,0x31,0x31,0x30,0x31,0x30,0x31};
  125. uchar code key_code[]={0xD7,0x7E,0x7D,0x7B,0xBE,0xBD,0xBB,0xDE,0xDD,0xDB,0xB7,0x77,0xEE,0xED,0xEB,0xE7};
  126. uchar code ksp[4]={0x7F,0xBF,0xDF,0xEF};         // 按键扫描代码
  127. uchar code tool_cal[4][2]={"+ ","- ","* ","/ "}; // 运算符号
  128. uchar code alm_sign[2][2]={"关","开"};                         // 闹铃开关
  129. uchar code set_bl_dm[2][3][4]={{"30秒","常开","关闭"},{"模01","模02","模03"}};
  130. uchar code set_mka[3][2][4]={{"图片","文字"},{"解锁","加锁"},{"开启","关闭"}};
  131. uchar code nba_oppo[8][2]={"红","白","蓝","绿","紫","灰","黄","黑"};
  132. uchar code set_menu[6][4]={"背光","显示","菜单","键盘","警报","退出"};


  133. uchar code version[10][14]={   // 版本信息
  134.                             {"清远职业技术学"},
  135.                                                         {"  信息科技学院"},
  136.                                                 {"08届毕业设计  "},
  137.                                                 {"  —05电子02班"},
  138.                                         {"作者:李锦华  "},
  139.                                                 {"指导:李祖明  "},
  140.                                                 {"版本:LIJH-V1.0"},
  141.                                                 {"    2008/06/19"},
  142.                                                 {"谢谢你的使用!"},
  143.                                                 {"         (ok)\x10"}};


  144. uchar code timer_tips[12][16]={ // 篮球器使用说明
  145.                             {"使用方法:      "},
  146.                                                         {"  (+)(-)与(*)(/)"},
  147.                                                         {"分别是两方的分数"},
  148.                                                         {"加与减,(7)键回退"},
  149.                                                         {"一秒,(8)键24秒复"},
  150.                                                         {"位,(9)键暂停与开"},
  151.                                                         {"始;暂停状态下:"},
  152.                                                         {"按两次(OK)键可返"},
  153.                                                         {"回时钟模式-2界面"},
  154.                                                         {",(OK)键返回程序"},
  155.                                                         {"界面,(./S)键两次"},
  156.                                                         {"退出程序.      \x1f"}};



  157. /*================================= 操作导航代码 ==================================*/


  158. typedef struct                        // 定义主菜单操作索引
  159. {
  160.   uchar shaft_num;
  161.   uchar left_num;
  162.   uchar right_num;
  163. }menu_index;

  164. typedef struct                        // 定义导航更改索引
  165. {
  166.   uchar lnum;
  167.   uchar rnum;
  168. }index;

  169. menu_index code index_m[6]={{3,5,1},{4,0,2},{5,1,3},{0,2,4},{1,3,5},{2,4,0}}; // 菜单导航
  170. index code index_t[6]={{4,1},{0,2},{1,3},{2,4},{3,0}};       // 工具导航
  171. index code index_p[5]={{3,1},{0,2},{1,3},{2,0}};             // 保密导航
  172. index code index_s[6]={{5,1},{0,2},{1,3},{2,4},{3,5},{4,0}}; // 设置导航
  173. index code index_a[7]={{0x81,0x91},{0x83,0x91},{0x85,0x91},{0x89,0x81},
  174.                                 {0x8b,0x81},{0x8d,0x81},{0x8f,0x91}};       // 调整下的坐标
  175. index code index_temp_set[3]={{0x8b,0x81},{0x8b,0x91},{0x8f,0x91}}; // 温度设定下的坐标
  176. index code index_alm[3]={{0x8c,0x81},{0x8e,0x81},{0x8f,0x91}};            // 闹铃更改坐标       
  177. index code index_cal[3]={{0x86,0x90},{0x8e,0x80},{0x8e,0x90}};            // 计算器操作坐标
  178. uchar code index_nba[4]={0x93,0x97,0x8b,0x8f};                                            // 篮球器设置导航





  179. /*========================== 菜单图片(宽度x高度=32*24)=============================*/

  180. uchar code menu_pic[6][96]={
  181.         {// 调整
  182.          0x00,0x00,0x00,0x00,0x7F,0xFF,0xFF,0xFE,0x40,0x00,0x00,0x02,0x40,0x00,0x00,0x02,
  183.          0x40,0x00,0x00,0x82,0x47,0xFF,0xE1,0xC2,0x47,0xFF,0xF3,0xE2,0x47,0x38,0x68,0x02,
  184.          0x46,0xDF,0x68,0x82,0x46,0xDF,0x69,0xC2,0x46,0xDE,0xE8,0x82,0x46,0xDD,0xE8,0x02,
  185.          0x46,0xDD,0xE8,0x02,0x47,0x3D,0xE8,0x02,0x47,0xFF,0xE8,0x02,0x47,0xFF,0xE9,0xC2,
  186.          0x42,0x00,0x18,0x02,0x41,0xFF,0xF3,0xE2,0x40,0x00,0x01,0xC2,0x40,0x00,0x00,0x82,
  187.          0x40,0x00,0x00,0x02,0x40,0x00,0x00,0x02,0x7F,0xFF,0xFF,0xFE,0x00,0x00,0x00,0x00},
  188.        
  189.         {// 工具                                       
  190.          0x00,0x00,0x00,0x00,0x7F,0xFF,0xFF,0xFE,0x40,0x00,0x00,0x02,0x40,0x00,0x03,0x82,
  191.          0x41,0xF0,0x07,0xC2,0x43,0xFF,0xCF,0xE2,0x47,0x3F,0xFE,0x02,0x47,0x3F,0xFE,0x02,
  192.          0x43,0xFF,0xCF,0xE2,0x41,0xF0,0x0F,0xC2,0x40,0x00,0x03,0x82,0x40,0x00,0x00,0x02,
  193.          0x40,0x00,0x03,0xC2,0x40,0x00,0x03,0xC2,0x41,0x80,0x01,0x82,0x43,0xFF,0xF3,0xC2,
  194.          0x47,0xFF,0xFF,0xE2,0x47,0xFF,0xFF,0xE2,0x43,0xFF,0xF3,0xC2,0x41,0x80,0x01,0x82,
  195.          0x40,0x00,0x01,0x82,0x40,0x00,0x00,0x02,0x7F,0xFF,0xFF,0xFE,0x00,0x00,0x00,0x00},
  196.        
  197.         {// 设置
  198.          0x00,0x00,0x00,0x00,0x7F,0xFF,0xFF,0xFE,0x40,0x00,0x00,0x02,0x43,0x18,0x00,0x02,
  199.          0x44,0xA4,0x0E,0x02,0x44,0xA4,0x1F,0x02,0x43,0x24,0x3F,0x02,0x40,0x00,0x7F,0x02,
  200.          0x40,0xF0,0xFC,0x02,0x43,0xF9,0xF0,0x02,0x43,0x03,0xE0,0x02,0x46,0x77,0x80,0x02,
  201.          0x46,0x7F,0x00,0x02,0x46,0x7E,0x00,0x02,0x46,0x7C,0x00,0x02,0x47,0x3D,0x00,0x02,
  202.          0x43,0x1D,0x81,0x22,0x43,0x81,0xB2,0x42,0x41,0xC3,0x4F,0xE2,0x40,0xFE,0x4A,0x42,
  203.          0x40,0x3C,0x32,0x42,0x40,0x00,0x00,0x02,0x7F,0xFF,0xFF,0xFE,0x00,0x00,0x00,0x00},
  204.        
  205.         {// 保密
  206.          0x00,0x00,0x00,0x00,0x7F,0xFF,0xFF,0xFE,0x40,0x00,0x00,0x02,0x40,0xFC,0x03,0x02,
  207.          0x41,0xFE,0x1F,0x02,0x43,0x87,0x03,0x82,0x43,0x03,0x03,0x02,0x43,0x03,0x03,0x02,
  208.          0x43,0x03,0x0F,0x02,0x43,0x03,0x03,0x02,0x43,0x03,0x03,0x02,0x47,0xFF,0x83,0x02,
  209.          0x47,0xFF,0x83,0x02,0x47,0xCF,0x83,0x02,0x47,0x87,0x83,0x02,0x47,0xCF,0x83,0x02,
  210.          0x47,0xCF,0x87,0x82,0x47,0xCF,0x8F,0xC2,0x47,0xCF,0x9C,0xE2,0x47,0xFF,0x8F,0xC2,
  211.          0x43,0xFF,0x07,0x82,0x40,0x00,0x00,0x02,0x7F,0xFF,0xFF,0xFE,0x00,0x00,0x00,0x00},
  212.        
  213.         {// 版本
  214.          0x00,0x00,0x00,0x00,0x7F,0xFF,0xFF,0xFE,0x40,0x00,0x00,0x02,0x40,0x00,0x00,0x02,
  215.          0x40,0x0F,0x00,0x62,0x40,0x1D,0x80,0xF2,0x40,0x38,0x41,0xF2,0x40,0x70,0x23,0xE2,
  216.          0x40,0xE2,0x17,0xC2,0x41,0xE4,0x4F,0x82,0x41,0xF0,0x9F,0x02,0x41,0x59,0x16,0x02,
  217.          0x42,0x4C,0x1D,0x02,0x42,0x46,0x20,0x82,0x42,0x47,0x00,0x42,0x44,0x43,0x80,0xC2,
  218.          0x44,0x44,0xC1,0xC2,0x44,0xC8,0x63,0x82,0x45,0x50,0x37,0x02,0x46,0x60,0x1E,0x02,
  219.          0x40,0x40,0x0C,0x02,0x40,0x00,0x00,0x02,0x7F,0xFF,0xFF,0xFE,0x00,0x00,0x00,0x00},
  220.        
  221.         {// 退出
  222.          0x00,0x00,0x00,0x00,0x7F,0xFF,0xFF,0xFE,0x40,0x00,0x00,0x02,0x40,0x40,0xFF,0xE2,
  223.          0x40,0x60,0x80,0x62,0x43,0xF0,0x80,0xA2,0x43,0xF0,0x81,0x22,0x40,0x60,0x82,0x22,
  224.          0x40,0x40,0x84,0x22,0x40,0x00,0x88,0x22,0x40,0x00,0x88,0x22,0x47,0xFF,0xF8,0x22,
  225.          0x47,0xFF,0xF8,0x22,0x46,0x2A,0x2A,0x22,0x46,0xE3,0x78,0x22,0x46,0x77,0x78,0x22,
  226.          0x46,0xE3,0x78,0x62,0x46,0x2A,0x38,0x82,0x47,0xFF,0xF9,0x02,0x47,0xFF,0xFA,0x02,
  227.          0x40,0x00,0x0C,0x02,0x40,0x00,0x00,0x02,0x7F,0xFF,0xFF,0xFE,0x00,0x00,0x00,0x00,}};




  228. /*================ 显示模式2数字及温度符号图片(宽度x高度=16*32)=====================*/

  229. uchar code mode_2num[11][64]={
  230.         {// 图片数字0
  231.          0x00,0x00,0x3F,0xFC,0x5F,0xFA,0x6F,0xF6,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,
  232.          0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x60,0x06,0x40,0x02,0x00,0x00,
  233.          0x40,0x02,0x60,0x06,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,
  234.          0x70,0x0E,0x70,0x0E,0x70,0x0E,0x6F,0xF6,0x5F,0xFA,0x3F,0xFC,0x00,0x00,0x00,0x00},
  235.        
  236.         {// 图片数字1
  237.          0x00,0x00,0x00,0x20,0x00,0x60,0x00,0xE0,0x00,0xE0,0x00,0xE0,0x00,0xE0,0x00,0xE0,
  238.      0x00,0xE0,0x00,0xE0,0x00,0xE0,0x00,0xE0,0x00,0x60,0x00,0x20,0x00,0x00,0x00,0x20,
  239.          0x00,0x60,0x00,0xE0,0x00,0xE0,0x00,0xE0,0x00,0xE0,0x00,0xE0,0x00,0xE0,0x00,0xE0,
  240.          0x00,0xE0,0x00,0xE0,0x00,0xE0,0x00,0x60,0x00,0x20,0x00,0x00,0x00,0x00,0x00,0x00},
  241.    
  242.         {// 图片数字2
  243.          0x00,0x00,0x3F,0xFC,0x1F,0xFA,0x0F,0xF6,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,
  244.          0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x06,0x1F,0xFA,0x3F,0xFC,
  245.          0x5F,0xF8,0x60,0x00,0x70,0x00,0x70,0x00,0x70,0x00,0x70,0x00,0x70,0x00,0x70,0x00,
  246.          0x70,0x00,0x70,0x00,0x70,0x00,0x6F,0xF8,0x5F,0xFC,0x3F,0xFE,0x00,0x00,0x00,0x00},
  247.        
  248.         {// 图片数字3
  249.          0x00,0x00,0x7F,0xFC,0x3F,0xFA,0x1F,0xF6,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,
  250.      0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x06,0x1F,0xFA,0x3F,0xFC,
  251.      0x1F,0xFA,0x00,0x06,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,
  252.      0x00,0x0E,0x00,0x0E,0x00,0x0E,0x1F,0xF6,0x3F,0xFA,0x7F,0xFC,0x00,0x00,0x00,0x00},
  253.        
  254.         {// 图片数字4
  255.          0x00,0x00,0x40,0x02,0x60,0x06,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,
  256.          0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x60,0x06,0x5F,0xFA,0x3F,0xFC,
  257.          0x1F,0xFA,0x00,0x06,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,
  258.          0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x06,0x00,0x02,0x00,0x00,0x00,0x00},
  259.        
  260.         {// 图片数字5
  261.          0x00,0x00,0x3F,0xFC,0x5F,0xF8,0x6F,0xF0,0x70,0x00,0x70,0x00,0x70,0x00,0x70,0x00,
  262.          0x70,0x00,0x70,0x00,0x70,0x00,0x70,0x00,0x70,0x00,0x60,0x00,0x5F,0xF8,0x3F,0xFC,
  263.          0x1F,0xFA,0x00,0x06,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,
  264.          0x00,0x0E,0x00,0x0E,0x00,0x0E,0x0F,0xF6,0x1F,0xFA,0x3F,0xFC,0x00,0x00,0x00,0x00},
  265.        
  266.         {// 图片数字6
  267.          0x00,0x00,0x3F,0xFC,0x5F,0xF8,0x6F,0xF0,0x70,0x00,0x70,0x00,0x70,0x00,0x70,0x00,
  268.          0x70,0x00,0x70,0x00,0x70,0x00,0x70,0x00,0x70,0x00,0x60,0x00,0x5F,0xF8,0x3F,0xFC,
  269.          0x5F,0xFA,0x60,0x06,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,
  270.          0x70,0x0E,0x70,0x0E,0x70,0x0E,0x6F,0xF6,0x5F,0xFA,0x3F,0xFC,0x00,0x00,0x00,0x00},
  271.        
  272.         {// 图片数字7
  273.          0x00,0x00,0x7F,0xFC,0x3F,0xFA,0x1F,0xF6,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,
  274.          0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x06,0x00,0x02,0x00,0x00,
  275.          0x00,0x02,0x00,0x06,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,
  276.          0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x06,0x00,0x02,0x00,0x00,0x00,0x00},
  277.        
  278.         {// 图片数字8
  279.          0x00,0x00,0x3F,0xFC,0x5F,0xFA,0x6F,0xF6,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,
  280.          0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x60,0x06,0x5F,0xFA,0x3F,0xFC,
  281.          0x5F,0xFA,0x60,0x06,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,
  282.          0x70,0x0E,0x70,0x0E,0x70,0x0E,0x6F,0xF6,0x5F,0xFA,0x3F,0xFC,0x00,0x00,0x00,0x00},
  283.        
  284.         {// 图片数字9
  285.          0x00,0x00,0x3F,0xFC,0x5F,0xFA,0x6F,0xF6,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,
  286.          0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x70,0x0E,0x60,0x06,0x5F,0xFA,0x3F,0xFC,
  287.          0x1F,0xFA,0x00,0x06,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,0x00,0x0E,
  288.          0x00,0x0E,0x00,0x0E,0x00,0x0E,0x1F,0xF6,0x3F,0xFA,0x7F,0xFC,0x00,0x00,0x00,0x00},
  289.        
  290.         {// 温度符号
  291.      0x00,0x00,0x00,0x00,0x70,0xE0,0x53,0xF0,0x77,0xFA,0x0E,0x0E,0x0C,0x06,0x18,0x06,
  292.      0x18,0x02,0x38,0x02,0x30,0x00,0x30,0x00,0x70,0x00,0x60,0x00,0x60,0x00,0x60,0x00,
  293.      0x60,0x00,0x60,0x00,0x70,0x00,0x30,0x00,0x30,0x00,0x38,0x00,0x18,0x02,0x18,0x02,
  294.      0x0C,0x06,0x0E,0x06,0x07,0x0E,0x03,0xFA,0x00,0xF0,0x00,0x60,0x00,0x00,0x00,0x00}};





  295. /*===================== 显示模式3数字图片(宽度x高度=24*32)=========================*/

  296. uchar code mode_3num[10][96]={
  297.         {// 图片数字0
  298.          0x00,0x7E,0x00,0x01,0xE7,0x80,0x03,0xC3,0xC0,0x07,0x81,0xE0,0x0F,0x80,0xF0,0x0F,
  299.          0x00,0xF0,0x1F,0x00,0xF8,0x1E,0x00,0xF8,0x3E,0x00,0x78,0x3E,0x00,0x7C,0x3E,0x00,
  300.          0x7C,0x3E,0x00,0x7C,0x3E,0x00,0x7C,0x3E,0x00,0x7C,0x3E,0x00,0x7C,0x3E,0x00,0x7C,
  301.          0x3E,0x00,0x7C,0x3E,0x00,0x7C,0x3E,0x00,0x7C,0x3E,0x00,0x7C,0x3E,0x00,0x7C,0x3E,
  302.          0x00,0x7C,0x3E,0x00,0x7C,0x3E,0x00,0x78,0x1E,0x00,0xF8,0x1F,0x00,0xF8,0x0F,0x00,
  303.          0xF0,0x0F,0x81,0xF0,0x07,0x81,0xE0,0x03,0xC3,0xC0,0x01,0xE7,0x80,0x00,0x7E,0x00},

  304.         {// 图片数字1
  305.          0x00,0x0C,0x00,0x00,0x1C,0x00,0x00,0x7C,0x00,0x07,0xFC,0x00,0x00,0x3C,0x00,0x00,
  306.          0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,
  307.          0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,
  308.          0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,
  309.          0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,
  310.          0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3E,0x00,0x00,0x7F,0x00,0x07,0xFF,0xF0},
  311.                           
  312.         {// 图片数字2
  313.          0x00,0xFF,0x00,0x03,0xC7,0xC0,0x07,0x01,0xE0,0x0E,0x00,0xF0,0x1E,0x00,0xF8,0x1E,
  314.          0x00,0xF8,0x3E,0x00,0x78,0x3E,0x00,0x78,0x3F,0x00,0x78,0x3F,0x00,0x78,0x1F,0x00,
  315.      0xF8,0x00,0x00,0xF8,0x00,0x00,0xF0,0x00,0x01,0xF0,0x00,0x03,0xE0,0x00,0x03,0xC0,
  316.          0x00,0x07,0x80,0x00,0x0F,0x00,0x00,0x1E,0x00,0x00,0x3C,0x00,0x00,0x78,0x00,0x00,
  317.          0xF0,0x00,0x01,0xE0,0x00,0x03,0xC0,0x00,0x07,0x80,0x1C,0x07,0x00,0x1C,0x0E,0x00,
  318.          0x38,0x1C,0x00,0x38,0x3C,0x00,0x78,0x3F,0xFF,0xF8,0x3F,0xFF,0xF8,0x3F,0xFF,0xF8},

  319.         {// 图片数字3
  320.          0x00,0xFE,0x00,0x07,0x87,0x80,0x0F,0x03,0xC0,0x1E,0x01,0xE0,0x1E,0x01,0xF0,0x1E,
  321.          0x01,0xF0,0x1F,0x00,0xF0,0x1F,0x00,0xF0,0x1E,0x00,0xF0,0x00,0x00,0xF0,0x00,0x01,
  322.          0xF0,0x00,0x01,0xF0,0x00,0x03,0xE0,0x00,0x03,0xC0,0x00,0x0F,0x00,0x00,0xFE,0x00,
  323.          0x00,0x07,0x80,0x00,0x01,0xE0,0x00,0x00,0xF0,0x00,0x00,0xF8,0x00,0x00,0xF8,0x00,
  324.          0x00,0x78,0x00,0x00,0x7C,0x1E,0x00,0x7C,0x3F,0x00,0x7C,0x3F,0x00,0x7C,0x3F,0x00,
  325.          0x78,0x3E,0x00,0xF8,0x1E,0x00,0xF0,0x0F,0x01,0xE0,0x07,0x87,0xC0,0x01,0xFF,0x00},

  326.         {// 图片数字4
  327.          0x00,0x03,0xC0,0x00,0x03,0xC0,0x00,0x07,0xC0,0x00,0x0F,0xC0,0x00,0x0F,0xC0,0x00,
  328.          0x1F,0xC0,0x00,0x3F,0xC0,0x00,0x3F,0xC0,0x00,0x77,0xC0,0x00,0x77,0xC0,0x00,0xE7,
  329.          0xC0,0x01,0xC7,0xC0,0x01,0xC7,0xC0,0x03,0x87,0xC0,0x07,0x07,0xC0,0x07,0x07,0xC0,
  330.          0x0E,0x07,0xC0,0x1E,0x07,0xC0,0x1C,0x07,0xC0,0x38,0x07,0xC0,0x38,0x07,0xC0,0x7F,
  331.          0xFF,0xFE,0x7F,0xFF,0xFE,0x00,0x07,0xC0,0x00,0x07,0xC0,0x00,0x07,0xC0,0x00,0x07,
  332.          0xC0,0x00,0x07,0xC0,0x00,0x07,0xC0,0x00,0x07,0xC0,0x00,0x07,0xE0,0x00,0x7F,0xFE},

  333.         {// 图片数字5
  334.          0x0F,0xFF,0xF8,0x0F,0xFF,0xF8,0x0F,0xFF,0xF8,0x0E,0x00,0x00,0x0E,0x00,0x00,0x0E,
  335.          0x00,0x00,0x0E,0x00,0x00,0x0E,0x00,0x00,0x0E,0x00,0x00,0x0E,0x00,0x00,0x0E,0x00,
  336.          0x00,0x0E,0x7F,0x00,0x0D,0xFF,0xC0,0x0F,0xC3,0xE0,0x1F,0x01,0xF0,0x1E,0x00,0xF8,
  337.          0x1E,0x00,0xF8,0x00,0x00,0x78,0x00,0x00,0x7C,0x00,0x00,0x7C,0x00,0x00,0x7C,0x00,
  338.          0x00,0x7C,0x1E,0x00,0x7C,0x3F,0x00,0x7C,0x3F,0x00,0x78,0x3F,0x00,0x78,0x3E,0x00,
  339.          0xF8,0x1E,0x00,0xF0,0x1E,0x01,0xF0,0x0E,0x01,0xE0,0x07,0x87,0xC0,0x00,0xFF,0x00},

  340.         {// 图片数字6
  341.          0x00,0x3F,0xC0,0x00,0xF1,0xE0,0x03,0xC1,0xF0,0x07,0x81,0xF8,0x07,0x01,0xF8,0x0F,
  342.          0x00,0xF0,0x1F,0x00,0x00,0x1E,0x00,0x00,0x1E,0x00,0x00,0x3E,0x00,0x00,0x3E,0x00,
  343.          0x00,0x3E,0x00,0x00,0x3E,0x3F,0x80,0x3E,0xFF,0xE0,0x3F,0xE3,0xF0,0x3F,0x80,0xF8,
  344.          0x3F,0x00,0xF8,0x3F,0x00,0x7C,0x3E,0x00,0x7C,0x3E,0x00,0x7C,0x3E,0x00,0x3C,0x3E,
  345.          0x00,0x3C,0x3E,0x00,0x3C,0x3E,0x00,0x3C,0x3E,0x00,0x7C,0x1E,0x00,0x7C,0x1F,0x00,
  346.          0x78,0x0F,0x00,0x78,0x0F,0x80,0xF0,0x07,0xC0,0xE0,0x03,0xE3,0xC0,0x00,0xFF,0x00},

  347.         {// 图片数字7
  348.          0x1F,0xFF,0xFC,0x1F,0xFF,0xFC,0x1F,0xFF,0xF8,0x1F,0x00,0x38,0x1C,0x00,0x70,0x1C,
  349.          0x00,0xE0,0x38,0x00,0xE0,0x38,0x01,0xC0,0x00,0x01,0xC0,0x00,0x03,0x80,0x00,0x03,
  350.          0x80,0x00,0x07,0x80,0x00,0x07,0x00,0x00,0x0F,0x00,0x00,0x0E,0x00,0x00,0x1E,0x00,
  351.          0x00,0x1E,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x3C,0x00,0x00,0x7C,0x00,0x00,
  352.          0x78,0x00,0x00,0x78,0x00,0x00,0xF8,0x00,0x00,0xF8,0x00,0x00,0xF8,0x00,0x00,0xF8,
  353.          0x00,0x00,0xF8,0x00,0x00,0xF8,0x00,0x00,0xF8,0x00,0x00,0xF8,0x00,0x00,0x78,0x00},

  354.         {// 图片数字8
  355.          0x00,0xFF,0x00,0x07,0xC3,0xC0,0x0F,0x00,0xE0,0x1E,0x00,0xF0,0x1E,0x00,0x78,0x3C,
  356.          0x00,0x78,0x3C,0x00,0x78,0x3C,0x00,0x7C,0x3E,0x00,0x78,0x3E,0x00,0x78,0x1F,0x00,
  357.          0x78,0x1F,0x80,0xF0,0x0F,0xE1,0xE0,0x07,0xFB,0xC0,0x01,0xFF,0x80,0x01,0xFF,0x80,
  358.          0x07,0xBF,0xC0,0x0F,0x0F,0xE0,0x1E,0x03,0xF0,0x3E,0x01,0xF8,0x3C,0x00,0xF8,0x3C,
  359.          0x00,0x7C,0x7C,0x00,0x7C,0x78,0x00,0x3C,0x78,0x00,0x3C,0x7C,0x00,0x3C,0x3C,0x00,
  360.          0x78,0x3C,0x00,0x78,0x1E,0x00,0x70,0x0F,0x00,0xE0,0x07,0xC3,0xC0,0x01,0xFF,0x00},

  361.         {// 图片数字9
  362.          0x01,0xFE,0x00,0x07,0xC7,0x80,0x0F,0x01,0xE0,0x1E,0x01,0xE0,0x1E,0x00,0xF0,0x3E,
  363.          0x00,0xF8,0x3C,0x00,0x78,0x3C,0x00,0x78,0x7C,0x00,0x7C,0x7C,0x00,0x7C,0x7C,0x00,
  364.          0x7C,0x7C,0x00,0x7C,0x7C,0x00,0x7C,0x3C,0x00,0xFC,0x3E,0x00,0xFC,0x3E,0x01,0xFC,
  365.      0x1F,0x03,0xFC,0x1F,0x8F,0xFC,0x0F,0xFF,0x7C,0x03,0xFC,0x7C,0x00,0x00,0x7C,0x00,
  366.      0x00,0xF8,0x00,0x00,0xF8,0x00,0x00,0xF8,0x00,0x00,0xF0,0x00,0x01,0xF0,0x0F,0x01,
  367.          0xE0,0x1F,0x01,0xE0,0x1F,0x03,0xC0,0x1F,0x07,0x80,0x0F,0x9F,0x00,0x03,0xFC,0x00}};




  368. /*========================== 标记及点图片(宽度x高度=16*16)===========================*/


  369. uchar code running[7][32]={
  370.      {// 警报标记16*16
  371.           0x00,0x00,0x00,0x39,0x00,0x7B,0x00,0xFE,0x01,0xDC,0x0F,0x98,0x0F,0x18,0x0C,0x1F,
  372.       0x0C,0x1F,0x0F,0x18,0x0F,0x98,0x01,0xDC,0x00,0xFE,0x00,0x7B,0x00,0x39,0x00,0x00,},
  373.          {// 闹铃开启标记16*16
  374.           0x00,0x00,0x00,0x40,0x00,0xE0,0x01,0xB0,0x01,0xB0,0x03,0x18,0x03,0x18,0x06,0x0C,
  375.       0x0C,0x06,0x0C,0xE6,0x1B,0x1B,0x0C,0x06,0x06,0x0C,0x03,0xF8,0x00,0xE0,0x00,0x00},
  376.          {// 键盘锁标记16*16
  377.       0x00,0x00,0x01,0xF8,0x03,0xFC,0x03,0x0C,0x03,0x0C,0x03,0x0C,0x03,0xFC,0x06,0x96,
  378.       0x0E,0xA7,0x0E,0xC7,0x0E,0xA7,0x0E,0x97,0x07,0xFE,0x03,0xFC,0x01,0xF8,0x00,0x00,},
  379.          {// 温度计标记16*16
  380.           0x00,0x00,0x1F,0xF8,0x1F,0xF8,0x01,0x80,0x01,0x80,0x01,0x80,0x01,0x80,0x01,0x80,
  381.       0x01,0x90,0x01,0x98,0x01,0x9C,0x01,0x9E,0x01,0x9C,0x00,0x18,0x00,0x10,0x00,0x00},
  382.          {// 模式3点16*16
  383.           0x00,0x00,0x00,0x00,0x00,0x00,0x03,0xC0,0x03,0xC0,0x03,0xC0,0x03,0xC0,0x03,0xC0,
  384.       0x03,0xC0,0x03,0xC0,0x03,0xC0,0x03,0xC0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},
  385.          {// 模式2点16*16
  386.           0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x03,0xC0,0x03,0xC0,0x03,0xC0,0x03,0xC0,
  387.       0x03,0xC0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},          
  388.          {// 篮球器运行标记16*16
  389.           0x00,0x00,0x00,0x00,0x00,0x00,0x1F,0xF8,0x1F,0xF8,0x01,0x80,0x01,0x80,0x01,0x90,
  390.       0x01,0x98,0x01,0x9C,0x01,0x9E,0x01,0x9C,0x01,0x98,0x01,0x90,0x00,0x00,0x00,0x00}};


  391. /*========================== 菜单按钮图片(宽度x高度=16*8)============================*/

  392. uchar code menu_key[]=
  393.          {//菜单按钮
  394.           0x7F,0xFE,0x81,0x81,0x83,0xC1,0x87,0xE1,0x8F,0xF1,0x9F,0xF9,0x80,0x01,0x7F,0xFE};





  395. /*=====================================================================================
  396. 函数名称:定时器中断处理与初始化,延时
  397. 功能描述:时钟更新、篮球器计时
  398. 全局变量:time_tick、time_tick1、resu[2]、resu[3]、resu[4]、temp_delay、temp_min
  399. 参数说明:无
  400. 返回说明:t0_isr(中断标记)、b20time(温度更新标记)
  401. 设 计 人:LIJH
  402. 版    本:1.0
  403. 说    明:
  404. ======================================================================================*/


  405. /*延时(一次10个机器周期不等) 参数格式:延时数*/
  406. void delay(unsigned int det)
  407. {
  408.   while(det--);
  409. }



  410. /*1s延时*/
  411. void delay_pw()
  412. {
  413.   delay(60000);
  414.   delay(60000);
  415. }


  416. /*6个周期  6us */
  417. void  delay_b20(uchar n)
  418. {  
  419.   while(n--);
  420. }



  421. /*主时钟中断处理*/
  422. void time0_isr(void) interrupt  TF0_VECTOR  using 1
  423. {
  424.   TR0=0;
  425.   TL0=(time_count&0x00FF);
  426.   TH0=(time_count>>8);
  427.   TR0=1;
  428.   if(--time_tick)  return;
  429.   time_tick=20;
  430.   t0_isr=1;
  431.   if(nba_tim&!oth_run)           // 当在篮球器界面时 nba_tim==1、oth_run==0
  432.   {
  433.     if(resu[3]==0)
  434.         {
  435.           resu[3]=59;
  436.           if(resu[2]>0)        resu[2]--;
  437.         }
  438.         else resu[3]--;
  439.         if(resu[2]==0&resu[3]==0)  // 比赛时间到后停止
  440.         {
  441.           TR0=0;TR1=0;EA=0;
  442.         }
  443.         return;
  444.   }
  445.   if((++temp_min)==60)          // 实现温度的更新
  446.   {
  447.     temp_min=0;
  448.     temp_delay--;
  449.         if(temp_delay==0)
  450.     {
  451.           b20time=1;temp_delay=5;
  452.         }
  453.   }       
  454. }


  455. /*24时钟中断处理*/
  456. void time1_isr(void) interrupt  TF1_VECTOR  using 2
  457. {
  458.   TR1=0;
  459.   TL1=(time_count&0x00FF);
  460.   TH1=(time_count>>8);
  461.   TR1=1;
  462.   if(--time_tick1) return;
  463.   time_tick1=20;
  464.   if(resu[4]>0)resu[4]--;          //  篮球器24秒中断
  465.   t0_isr=1;
  466.   if(resu[4]==0)
  467.   { TR0=0;TR1=0;EA=0;}
  468. }


  469. /*定时器初始化*/
  470. void time_init()                       
  471. {
  472.   EA=0;
  473.   TR0=0;
  474.   TR1=0;
  475.   TMOD=0x11;
  476.   TL0=(time_count&0x00FF);
  477.   TH0=(time_count>>8);
  478.   TL1=(time_count&0x00FF);
  479.   TH1=(time_count>>8);
  480.   IE=0;
  481.   ET0=1;
  482.   ET1=1;
  483. }



  484. /*=====================================================================================
  485. 函数名称:LCD驱动
  486. 功能描述:基本驱动(写1个字节、清屏、初始化)
  487. 全局变量:无
  488. 参数说明:dat_comm(0:命令 1:数据),content(数值)
  489. 返回说明:无返回值
  490. 设 计 人:LIJH
  491. 版    本:1.0
  492. 说    明:
  493. ======================================================================================*/


  494. /*检查忙碌位*/
  495. void chk_busy (void)
  496. {
  497.   lcd_data = 0xff;
  498.   lcd_rs = 0;    // 命令
  499.   lcd_rw = 1;         // 读
  500.   lcd_e = 1;
  501.   while(busy==1);
  502.   lcd_e = 0;
  503. }


  504. /*写LCD 参数格式:0:命令,1:数值 ,数据*/
  505. void wr_lcd (uchar dat_comm,uchar content)
  506. {
  507.   chk_busy ();
  508.   lcd_rs=dat_comm;
  509.   lcd_rw = 0;         // 写
  510.   lcd_data=content;   // 输出数据或命令
  511.   lcd_e=1;            
  512.   lcd_e=0;
  513. }


  514. /*清绘图*/
  515. void clrgdram(void)
  516. {
  517.   uchar i,j;
  518.   for(j=0;j<32;j++)
  519.   {
  520.     wr_lcd(comm,0x34);
  521.     wr_lcd(comm,0x80+j);
  522.     wr_lcd(comm,0x80);
  523.     wr_lcd(comm,0x30);
  524.     for(i=0;i<32;i++)
  525.       wr_lcd(dat,0x00);  // 写入0x00
  526.    }
  527.   wr_lcd(comm,0x36);
  528. }


  529. /*清除显示*/
  530. void clear_dis(void)
  531. {
  532.   wr_lcd(comm,0x30);
  533.   wr_lcd(comm,0x01);  // 清屏,地址指针指向00H
  534.   clrgdram();                  // 请绘图RAM
  535. }



  536. /*开机LCD初始化*/
  537. void init_lcd (void)
  538. {
  539.   lcd_rst=1;
  540.   wr_lcd(comm,0x30);  // 30---基本指令动作  
  541.   wr_lcd(comm,0x06);  // 光标的移动方向
  542.   wr_lcd(comm,0x0c);  // 开显示,关游标
  543.   clear_dis();
  544. }





  545. /*=====================================================================================
  546. 函数名称:DS1302驱动
  547. 功能描述:基本驱动(读写数据、读写日期,时间、初始化)
  548. 全局变量:无
  549. 参数说明:w_adder(写地址),r_adder(读地址),w_data(写入数据)
  550. 返回说明:返回读取的数据
  551. 设 计 人:LIJH
  552. 版    本:1.0
  553. 说    明:上升沿写入数值,下降沿读出数值,没用设立后背电池充电
  554. ======================================================================================*/


  555. /*向1302写数据 参数格式:地址,数据*/
  556. void w1302(uchar w_adder,uchar w_data)
  557. {
  558.   uchar d;
  559.   ret_ds1302();
  560.   for(d=0;d<16;d++)
  561.   {
  562.     if(d==8) w_adder=w_data;
  563.         d02_data=(bit)(w_adder&0x01);
  564.     d02_sclk=0;                 // 上升沿
  565.     w_adder>>=1;
  566.     d02_sclk=1;
  567.   }
  568.   end_ds1302();   
  569. }



  570. /*接收1302一个字节的数据 参数格式:读地址*/
  571. uchar r1302(uchar r_adder)
  572. {
  573.   uchar d=0;
  574.   ret_ds1302();
  575.   for(d=0;d<8;d++)         // 写地址
  576.   {
  577.     d02_data=(bit)(r_adder&0x01);
  578.     d02_sclk=0;
  579.     r_adder>>=1;
  580.     d02_sclk=1;
  581.   }
  582.   r_adder=0;
  583.   for(d=0;d<8;d++)         // 读数据
  584.   {
  585.         d02_data=1;
  586.         d02_sclk=1;                 // 下降沿
  587.         r_adder>>=1;
  588.         d02_sclk=0;
  589.         if(d02_data) r_adder|=0x80;
  590.   }
  591.   end_ds1302();
  592.   return(r_adder);
  593. }



  594. /*写入时间与日期*/
  595. void wds1302_time_date(void)
  596. {
  597.   w1302(d02_wrc,0x00);                            // 写允许
  598.   w1302(0x90,0xa5);                  // 单二极管2K电阻充电
  599.   w1302(d02_year,today.year);            // 年
  600.   w1302(d02_weeks,today.week);           // 星期
  601.   w1302(d02_month,today.month);            // 月
  602.   w1302(d02_day,today.day);                    // 日
  603.   w1302(d02_hour,0x7f&now.hour);            // 时
  604.   w1302(d02_minute,now.minute);            // 分
  605.   w1302(d02_second,0x7f&now.second); // 秒
  606.   w1302(d02_wrc,0x80);                                  // 写禁止
  607. }




  608. /*初始化写DS1302 RAM*/
  609. void init_wds1302_ram(void)
  610. {
  611.   w1302(d02_wrc,0x00);
  612.   w1302(0x90,0xa5);         // 单二极管2K电阻充电
  613.   w1302(d02_signs,0x00);           // 状态寄存
  614.   w1302(d02_passw,0x74);           // 密码储存首位
  615.   w1302(d02_passw+2,0x14);  // 初始值为:741456
  616.   w1302(d02_passw+4,0x56);  // 密码最后一位
  617.   w1302(d02_alm,0x12);           // 闹铃时(12小时)
  618.   w1302(d02_alm+2,0x00);           // 闹铃分(0分)
  619.   w1302(d02_temp,0xff);           // 温度上限(255为关闭)          
  620.   w1302(d02_temp+2,0xff);   // 温度下限(关闭)
  621.   w1302(d02_initsi,0xb4);   // 是否要初始化标记(0xb4为已经初始化)
  622.   w1302(d02_wrc,0x80);
  623. }

  624. /*写DS1302一字节的RAM*/
  625. void wds1302_data(uchar adder,uchar _data)
  626. {
  627.   w1302(d02_wrc,0x00);
  628.   w1302(adder,_data);
  629.   w1302(d02_wrc,0x80);
  630. }


  631. /*读取日期*/
  632. void rds1302_date(void)
  633. {
  634.   
  635.   today.year=r1302(d02_year+1);          
  636.   today.week=r1302(d02_weeks+1);
  637.   today.month=r1302(d02_month+1);
  638.   today.day=r1302(d02_day+1);  
  639. }


  640. /*读取时间*/
  641. void rds1302_time(void)
  642. {
  643.   now.hour=(r1302(d02_hour+1));
  644.   now.minute=r1302(d02_minute+1);
  645.   now.second=r1302(d02_second+1);
  646.   if(now.hour==alarm_time[0]&&now.minute==alarm_time[1]) alm_run=1;
  647.   else                                                                                 // 闹铃时间比较
  648.   {
  649.     alm_run=0;buzstop=0;buzzer=close;
  650.   }
  651. }                                       

  652. /*开机读数据*/
  653. void rds1302_data(void)
  654. {
  655.   signs=r1302(d02_signs+1);
  656.   alarm_time[0]=r1302(d02_alm+1);
  657.   alarm_time[1]=r1302(d02_alm+3);
  658.   als_temp[0]=r1302(d02_temp+1);
  659.   als_temp[1]=r1302(d02_temp+3);                  
  660. }


  661. /*DS1302初始化*/
  662. void init_ds1302(void)
  663. {
  664.   wds1302_time_date();
  665.   init_wds1302_ram();
  666. }


  667. /*=====================================================================================
  668. 函数名称:DS18b20驱动
  669. 功能描述:基本驱动(读写数据、数据转换、初始化)
  670. 全局变量:无
  671. 参数说明:无
  672. 返回说明:返回读取的数据
  673. 设 计 人:LIJH
  674. 版    本:1.0
  675. 说    明:调试中。。。
  676. ======================================================================================*/



  677. /*18B20初始化*/
  678. void init_ds18b20()
  679. {
  680.   b20_io=1;
  681.   b20_io=0;
  682.   delay_b20(120);                // 延时≥480us
  683.   b20_io=1;
  684.   delay_b20(12);            // 拉高为高电平后在15—60us出现低电平,并维持60—240us
  685.   ds18b20=~b20_io;
  686.   delay_b20(40);       
  687. }


  688. /*向18B20发送一个字节*/
  689. void send18b20_byte(uchar data_send)
  690. {
  691.   uchar d=0;
  692.   for (d=0;d<8;d++)
  693.   {
  694.         b20_io=0;                                        // 拉低电平后向总线写入一个位,15us后18B20接收  
  695.     b20_io=data_send&0x01;            
  696.     delay_b20(10);                                // 延时60us后完成一次
  697.     b20_io=1;
  698.     data_send>>=1;                            // 下位开始
  699.   }
  700. }


  701. /*从18B20读出温度值 12位分辨率*/  
  702. void receive18b20(void)
  703. {
  704.   uchar n=1,d;
  705.   for (d=0;d<16;d++)
  706.   {
  707.         if(d==8) n=0;
  708.         b20_io=0;                                          // 拉低总线
  709.         temp.t_data[n]>>=1;            
  710.         b20_io=1;                     // 拉高总线
  711.         if(b20_io)                                          // 读入总线数值
  712.       temp.t_data[n]|=0x80;
  713.     delay_b20(10);                                  // 等待60us
  714.   }
  715. }


  716. /*数据转化*/
  717. void conversion_t(void)
  718. {
  719.   uchar save=0,save1=0;
  720.   if(temp.temp_data<0)                  // 判断数值符号
  721.     {temp_pn=1;temp.temp_data=~temp.temp_data+1;}
  722.   else temp_pn=0;
  723.   if(temp.t_data[1]&0x01==1) save+=6;            // 小数点后的值转变
  724.   if((temp.t_data[1]>>1)&0x01==1) save+=12;
  725.   if((temp.t_data[1]>>2)&0x01==1) save+=25;
  726.   if((temp.t_data[1]>>3)&0x01==1) save+=50;
  727.   temp.temp_data>>=4;
  728.   save1=temp.temp_data&0x00ff;                                // 整数部分数值
  729.   temp.t_data[0]=save1;
  730.   temp.t_data[1]=save;
  731.   thl_als=1;
  732.   if(save1>=(als_temp[0]&0x7f))      // 温度比较
  733.   {
  734.         if(!temp_pn||(temp_pn&(als_temp[0]&0x80)!=0)){ als_th=open;als_tl=close;}
  735.   }
  736.   else if(save1<(als_temp[1]&0x7f)&&als_temp[1]!=255)
  737.   {
  738.     if(!temp_pn||(temp_pn&(als_temp[1]&0x80)!=0)) {als_tl=open;als_th=close;}
  739.   }
  740.   else {als_th=als_tl=close;thl_als=0;buzstop=0;buzzer=close;}
  741. }



  742. /*18b20功能*/
  743. void ds18b20_func(void)
  744. {
  745.   EA=0;
  746.   TR0=0;
  747.   temp.temp_data=0;
  748.   init_ds18b20();
  749.   send18b20_byte(0xcc); // 跳过ROM
  750.   send18b20_byte(0x44); // 温度转换
  751.   delay_pw();
  752.   init_ds18b20();
  753.   send18b20_byte(0xcc);
  754.   send18b20_byte(0xbe); // 读RAM
  755.   receive18b20();                // 读取温度值
  756.   conversion_t();                // 数值转换
  757.   EA=1;
  758.   TR0=1;
  759. }



  760. /*=====================================================================================
  761. 函数名称:画面构造
  762. 功能描述:界面1、2、3,图片菜单,反白,标头,16*16(32)图片
  763. 全局变量:无
  764. 参数说明:函数说明
  765. 返回说明:无
  766. 设 计 人:LIJH
  767. 版    本:1.0
  768. 说    明:X坐标每一格每次16点
  769. ======================================================================================*/


  770. /*反白或显示图片 参数格式:(H)8bit数据,(L)8bit数据,X0坐标(16bit),Y0坐标(1bit),X坐标(16bit),Y坐标(1bit)*/
  771. void con_disp (uchar data1,uchar data2,uchar x0,uchar y0,uchar xl,uchar yl)
  772. {
  773.   uchar i,j;
  774.   for(j=0;j<yl;j++)
  775.   {
  776.     for(i=0;i<xl;i++)
  777.     {
  778.       wr_lcd(comm,0x34);     // 扩展功能
  779.       wr_lcd(comm,y0+j);         // 写Y坐标
  780.       wr_lcd(comm,x0+i);         // 写X坐标
  781.       wr_lcd(comm,0x30);         // 普通功能
  782.       wr_lcd(dat,data1);
  783.       wr_lcd(dat,data2);
  784.     }
  785.   }
  786.   wr_lcd(comm,0x36);                 // 开绘图显示
  787. }



  788. /*显示字体 参数格式: 显示位置(0x80-0x9f),显示个数,显示内容指针*/
  789. void display_cnasc(uchar dis_bit,uchar num,uchar *cnasc)
  790. {
  791.   wr_lcd(comm,0x30);             // 普通功能
  792.   wr_lcd(comm,dis_bit);             // 写地址
  793.   while(num>0)
  794.   {
  795.     wr_lcd(dat,*(cnasc++));
  796.     wr_lcd(dat,*(cnasc++));
  797.         num--;
  798.   }
  799. }



  800. /*导航标头显示*/
  801. void dis_title(void)
  802. {
  803.   display_cnasc(0x80,1,"\x15 ");
  804.   display_cnasc(0x90,1,"┏");
  805.   display_cnasc(0x97,1,"┓");
  806.   display_cnasc(0x98,1,"┗");
  807.   display_cnasc(0x9f,1,"┛");
  808.   display_cnasc(0x92,1,"\x1e ");
  809.   display_cnasc(0x9a,1,"\x1f ");
  810.   con_disp(0xff,0xff,0x80,0x80,3,16);
  811.   con_disp(0xef,0xef,0x83,0x80,1,16);
  812.   con_disp(0xde,0xf7,0x84,0x80,1,16);
  813.   con_disp(0x76,0xdb,0x85,0x80,1,16);
  814.   con_disp(0x55,0x55,0x86,0x80,1,16);
  815.   con_disp(0x55,0x55,0x87,0x80,1,16);       
  816. }


  817. /*图片显示标头*/
  818. void dis_title_pic(void)
  819. {
  820.   display_cnasc(0x80,2,"〓〓");
  821.   display_cnasc(0x86,2,"〓〓");
  822. }


  823. /*功能实现显示标头*/
  824. void dis_title_e(void)
  825. {
  826.   display_cnasc(0x80,1," \x15");
  827.   con_disp(0xff,0xff,0x80,0x80,1,16);
  828.   con_disp(0xff,0xc7,0x81,0x80,1,16);
  829.   con_disp(0xff,0xff,0x82,0x80,3,16);
  830.   con_disp(0xe3,0xff,0x85,0x80,1,16);
  831.   con_disp(0xff,0xff,0x86,0x80,2,16);
  832. }



  833. /*显示菜单图片  格式:图片反显(1)或正常显示(0),显示图片的号码*/
  834. void anti_nor_pic (uchar cpl_sign,uchar menu_num)
  835. {
  836.   uchar i,j,x,y;
  837.   x=menu_num*3;           // 计算图片显示坐标
  838.   if(x>6) x--;
  839.   if(menu_num<3) y=16;        // 上下半屏显示
  840.   else y=8;
  841.   if(cpl_sign==1) display_cnasc(0x82,4,menu_cn[menu_num]);
  842.   for(j=0;j<24;j++)
  843.   {
  844.    for(i=0;i<2;i++)
  845.    {
  846.      wr_lcd(comm,0x34);
  847.      wr_lcd(comm,0x80+y);
  848.      wr_lcd(comm,0x80+x+i);  // 分两次显示 16bit
  849.      wr_lcd(comm,0x30);
  850.      if(cpl_sign==1)              // 图片反选
  851.      {
  852.            wr_lcd(dat,~menu_pic[menu_num][j*4+i*2]);
  853.        wr_lcd(dat,~menu_pic[menu_num][j*4+i*2+1]);
  854.          }
  855.      else                                          // 正常显示
  856.      {
  857.            wr_lcd(dat,menu_pic[menu_num][j*4+i*2]);
  858.        wr_lcd(dat,menu_pic[menu_num][j*4+i*2+1]);
  859.          }
  860.    }
  861.    y++;
  862.    if(y==32&&menu_num<3) {x+=8;y=0;}  // 上下屏切换
  863.   }
  864.   wr_lcd(comm,0x36);
  865. }




  866. /*状态16*16图片  格式:反色(1)或正常0,X坐标,Y坐标,状态编码(16*16)*/
  867. void dis_run_state(uchar cpl,uchar x0,uchar y0,uchar state_num)
  868. {
  869.   uchar j;
  870.   for(j=0;j<16;j++)
  871.   {
  872.     wr_lcd(comm,0x34);  // 扩展功能
  873.     wr_lcd(comm,y0++);        // 写Y地址
  874.     wr_lcd(comm,x0);        // 写X地址
  875.     wr_lcd(comm,0x30);        // 普通功能
  876.         if(cpl==1)                        // 写数据
  877.     {
  878.           wr_lcd(dat,~running[state_num][j*2]);
  879.       wr_lcd(dat,~running[state_num][j*2+1]);
  880.         }
  881.         else
  882.         {
  883.           wr_lcd(dat,running[state_num][j*2]);
  884.       wr_lcd(dat,running[state_num][j*2+1]);
  885.         }
  886.   }
  887.   wr_lcd(comm,0x36);
  888. }



  889. /*显示菜单按钮  参数格式:反色(1)或正常(0)*/
  890. void dis_menu_key(uchar d)
  891. {
  892.   if(d==1)
  893.   {
  894.     for(d=0;d<8;d++)                                                                  // 显示菜单按钮
  895.       con_disp(~menu_key[d*2],~menu_key[d*2+1],0x9f,0x97+d,1,1);
  896.   }
  897.   else
  898.   {
  899.     for(d=0;d<8;d++)                                                                  // 显示菜单按钮
  900.       con_disp(menu_key[d*2],menu_key[d*2+1],0x9f,0x97+d,1,1);
  901.   }
  902. }




  903. /*显示模式3的界面*/
  904. void dis_mode3(void)
  905. {
  906.   uchar i,j,h,l,x_0=0x80,y_0=0x90;
  907.   h=now.hour>>4;       // 分离十位 个位
  908.   l=now.hour&0x0f;
  909.   for(j=0;j<2;j++)
  910.   {
  911.     for(i=0;i<32;i++)  // 十位个位一起读入
  912.     {
  913.       wr_lcd(comm,0x34);
  914.       wr_lcd(comm,y_0++);
  915.       wr_lcd(comm,x_0);
  916.       wr_lcd(comm,0x30);
  917.       wr_lcd(dat,mode_3num[h][i*3]);  // 连续写数据,X坐标自动加1
  918.       wr_lcd(dat,mode_3num[h][i*3+1]);
  919.       wr_lcd(dat,mode_3num[h][i*3+2]);
  920.       wr_lcd(dat,mode_3num[l][i*3]);
  921.       wr_lcd(dat,mode_3num[l][i*3+1]);
  922.       wr_lcd(dat,mode_3num[l][i*3+2]);
  923.       if(y_0==0xa0){x_0=x_0+8;y_0=0x80;}
  924.     }
  925.     x_0=0x84,y_0=0x90;
  926.     h=now.minute>>4;   // 分离十位 个位
  927.     l=now.minute&0x0f;
  928.   }
  929.   dis_run_state(0,0x83,0x90,4); // 显示点
  930.   dis_run_state(0,0x8b,0x80,4);                                          
  931.   y_0=0x80;                           // 显示状态标记
  932.   if(keb) {dis_run_state(0,0x87,y_0,2);y_0+=16;}
  933.   if(alm) {dis_run_state(0,0x87,y_0,1);y_0+=16;}
  934.   if(y_0<0xa0&&!als){dis_run_state(0,0x87,y_0,0);}
  935.   if(y_0==0xa0&&!als){dis_run_state(0,0x8f,0x80,0);}
  936.   dis_menu_key(0);
  937. }




  938. /*显示模式1和2的共用部分*/
  939. void mode1_2_union(void)
  940. {
  941.   uchar x=0x9b;
  942.   display_cnasc(0x98,2,"星期");
  943.   if(nba_tim) dis_run_state(0,x,0x90,6);
  944.   if(keb) {dis_run_state(0,x,0x90,2);x++;}    // 显示标记
  945.   if(alm) {dis_run_state(0,x,0x90,1);x++;}
  946.   if(!als){dis_run_state(0,x,0x90,0);}
  947.   dis_menu_key(0);                           // 显示菜单按钮
  948. }


  949. /*显示模式2的日期*/
  950. void dis_date_mode2(uchar d)
  951. {
  952.   uchar save[2];
  953.   display_cnasc(d+2,1,"年");
  954.   display_cnasc(d+4,1,"月");
  955.   display_cnasc(d+6,1,"日");
  956.   display_cnasc(0x9a,1,weeks[today.week]);  // 显示星期
  957.   save[0]=char_num[today.year>>4];                // 将显示的数值存到数组中
  958.   save[1]=char_num[today.year&0x0f];
  959.   display_cnasc(d+1,1,save);
  960.   save[0]=char_num[today.month>>4];
  961.   save[1]=char_num[today.month&0x0f];
  962.   display_cnasc(d+3,1,save);
  963.   save[0]=char_num[today.day>>4];
  964.   save[1]=char_num[today.day&0x0f];
  965.   display_cnasc(d+5,1,save);
  966. }

  967. /*显示模式2的时间数字显示  16*32*/
  968. void num2_asc_dis(uchar num,uchar x_0)
  969. {
  970.   uchar i,y_0=0x90;
  971.   for(i=0;i<32;i++)  // 十位个位一起读入
  972.   {
  973.     wr_lcd(comm,0x36);
  974.     wr_lcd(comm,y_0++);
  975.     wr_lcd(comm,x_0);
  976.     wr_lcd(comm,0x30);
  977.     wr_lcd(dat,mode_2num[num][i*2]);
  978.     wr_lcd(dat,mode_2num[num][i*2+1]);
  979.         if(i==15){x_0+=8;y_0=0x80;}
  980.   }
  981.   wr_lcd(comm,0x36);
  982. }

  983. /*显示模式2的时间*/
  984. void dis_time_mode2(void)
  985. {
  986.   uchar h,l;
  987.   if(now.hour!=save_time.hour||init_d2)
  988.   {
  989.     h=now.hour>>4;    // 分离十位 个位
  990.     l=now.hour&0x0f;
  991.     num2_asc_dis(h,0x80);
  992.         num2_asc_dis(l,0x80+1);
  993.   }
  994.   if(now.minute!=save_time.minute||init_d2)
  995.   {
  996.     h=now.minute>>4;    // 分离十位 个位
  997.     l=now.minute&0x0f;
  998.     num2_asc_dis(h,0x83);
  999.         num2_asc_dis(l,0x83+1);
  1000.   }
  1001.   if(now.second!=save_time.second||init_d2)
  1002.   {
  1003.     h=now.second>>4;    // 分离十位 个位
  1004.     l=now.second&0x0f;
  1005.     num2_asc_dis(h,0x86);
  1006.         num2_asc_dis(l,0x86+1);
  1007.   }
  1008.   if(init_d2)
  1009.   {
  1010.     dis_run_state(0,0x82,0x90,5); // 显示点
  1011.     dis_run_state(0,0x8a,0x80,5);
  1012.     dis_run_state(0,0x85,0x90,5); // 显示点
  1013.     dis_run_state(0,0x8d,0x80,5);
  1014.   }       
  1015. }


  1016. /*显示模式2的界面*/
  1017. void dis_mode2(void)
  1018. {
  1019.   dis_date_mode2(0x81);              // 显示日期
  1020.   display_cnasc(0x81,1,"20");
  1021.   dis_time_mode2();                          // 显示时间
  1022.   mode1_2_union();
  1023. }


  1024. /*显示模式1的日期*/
  1025. void dis_date_mode1(void)
  1026. {
  1027.   uchar save[2];
  1028.   display_cnasc(0x80,3,"日期:");
  1029.   display_cnasc(0x90,3,"时间:");
  1030.   display_cnasc(0x88,3,"温度:");
  1031.   display_cnasc(0x9a,1,weeks[today.week]);  // 显示星期
  1032.   display_cnasc(0x83,1,"20");
  1033.   save[0]=char_num[today.year>>4];                          
  1034.   save[1]=char_num[today.year&0x0f];
  1035.   display_cnasc(0x84,1,save);
  1036.   save[0]='/';
  1037.   save[1]=char_num[today.month>>4];
  1038.   display_cnasc(0x85,1,save);
  1039.   save[0]=char_num[today.month&0x0f];
  1040.   save[1]='/';
  1041.   display_cnasc(0x86,1,save);
  1042.   save[0]=char_num[today.day>>4];
  1043.   save[1]=char_num[today.day&0x0f];
  1044.   display_cnasc(0x87,1,save);
  1045.   mode1_2_union();
  1046. }


  1047. /*显示模式1的时间*/
  1048. void dis_time_mode1(void)
  1049. {
  1050.   uchar save[2];
  1051.   save[0]=char_num[now.hour>>4];
  1052.   save[1]=char_num[now.hour&0x0f];
  1053.   display_cnasc(0x93,1,save);
  1054.   save[0]=':';
  1055.   save[1]=char_num[now.minute>>4];
  1056.   display_cnasc(0x94,1,save);
  1057.   save[0]=char_num[now.minute&0x0f];
  1058.   save[1]=':';
  1059.   display_cnasc(0x95,1,save);
  1060.   save[0]=char_num[now.second>>4];
  1061.   save[1]=char_num[now.second&0x0f];
  1062.   display_cnasc(0x96,1,save);
  1063. }


  1064. /*显示模式1的温度*/
  1065. void dis_temp_mode1(void)
  1066. {
  1067.   uchar save[2];
  1068.   if(ds18b20)
  1069.   {
  1070.          if(temp.t_data[0]>99)
  1071.          {
  1072.             save[0]=char_num[temp.t_data[0]/100];
  1073.                 save[1]=char_num[(temp.t_data[0]/10)%10];
  1074.                 display_cnasc(0x8b,1,save);
  1075.                 save[0]=char_num[temp.t_data[0]%10];
  1076.                 save[1]='.';
  1077.                 display_cnasc(0x8c,1,save);
  1078.                 save[0]=char_num[temp.t_data[1]/10];
  1079.                 save[1]=' ';
  1080.                 display_cnasc(0x8d,1,save);
  1081.                 display_cnasc(0x8e,1,"℃");
  1082.           }
  1083.           else
  1084.           {
  1085.         if(temp_pn) display_cnasc(0x8a,1,":-");
  1086.             else  display_cnasc(0x8a,1,":");
  1087.                 save[0]=char_num[temp.t_data[0]/10];
  1088.                 save[1]=char_num[temp.t_data[0]%10];
  1089.                 display_cnasc(0x8b,1,save);
  1090.                 save[0]='.';
  1091.                 save[1]=char_num[temp.t_data[1]/10];
  1092.                 display_cnasc(0x8c,1,save);
  1093.                 display_cnasc(0x8d,1,"℃");
  1094.           }
  1095.         }
  1096.   else display_cnasc(0x8b,3,"FF.F℃");
  1097. }



  1098. /*显示模式1的界面*/
  1099. void dis_mode1(void)
  1100. {
  1101.   dis_date_mode1();
  1102.   dis_time_mode1();
  1103.   dis_temp_mode1();
  1104. }



  1105. /*篮球器时间显示*/
  1106. void nba_dis_time(void)
  1107. {
  1108.   uchar d,dis[2];
  1109.   for(d=2;d<4;d++)
  1110.   {
  1111.         dis[0]=char_num[resu[d]/10];
  1112.         dis[1]=char_num[resu[d]%10];
  1113.         display_cnasc(0x81+d*2,1,dis);
  1114.   }
  1115.   display_cnasc(0x86,1,": ");
  1116.   if(resu[0]==1)
  1117.   {
  1118.         dis[0]='\x07';
  1119.         dis[1]=char_num[resu[4]/10];
  1120.         display_cnasc(0x93,1,dis);
  1121.         dis[1]='\x07';
  1122.         dis[0]=char_num[resu[4]%10];
  1123.         display_cnasc(0x94,1,dis);
  1124.   }
  1125. }


  1126. /*=====================================================================================
  1127. 函数名称:键盘扫描
  1128. 功能描述:读取键盘操作数据及部分状态的实现
  1129. 全局变量:dis_sig  t0_isr  temprun  b20time  alm_run als_temp         buzstop  als
  1130.            lock_op  nba_tim
  1131. 参数说明:无
  1132. 返回说明:键盘锁与密码锁时间到达后返回 255
  1133. 设 计 人:LIJH
  1134. 版    本:1.0
  1135. 说    明:
  1136. ======================================================================================*/

  1137. /*扫描键盘*/
  1138. uchar  keypad_scan()
  1139. {
  1140.   uchar key,dis[2];
  1141.   keyboard=0xf0;
  1142.   while (keyboard==0xf0)     // 等待按键按下
  1143.   {         

  1144.   /*--------------------- 背光灯关闭延时 -----------------------*/
  1145.        
  1146.         delay(1000);                                      
  1147.         if((++dis[0])==0&&bl_delay!=0) bl_delay--;  // 当有按键按下bl_delay重新赋值        
  1148.         if(bl_delay==0&&!bl0) lcd_bl=close;         // 背光时间到且不是常开模式时关闭背光

  1149.           
  1150.   /*----------------------- 键盘锁延时 -------------------------*/       

  1151.         if(keb&&dis_sig&&bl_delay==0&&resu[11]<2) resu[11]++; // 当有按键按下j清零
  1152.         if(bl_delay==0) bl_delay=15;


  1153.   /*--------------------- 密码锁30秒锁延时 ---------------------*/               

  1154.         if(lock_op)                                        
  1155.         {
  1156.           if((++i)==0) save1++;                 
  1157.           if(save1==15) return(255);
  1158.         }
  1159.        

  1160.   /*---------------------- 时钟界面时间显示 ---------------------*/
  1161.        
  1162.         if(dis_sig&&t0_isr||nba_tim&&oth_run)     // 正常时钟界面与篮球器返回时钟界面显示
  1163.     {   
  1164.           rds1302_date();            // 读出日期
  1165.       rds1302_time();                // 读出时间
  1166.           if(di0)                     // 模式2
  1167.           {
  1168.             dis_time_mode2();
  1169.                 if(now.hour==0&&now.minute==0&&now.second==0)        dis_date_mode2(0x81);
  1170.           }
  1171.       else if(di1)                         // 模式3
  1172.           {
  1173.             if(now.hour!=save_time.hour||now.minute!=save_time.minute)   // 时,分变化后显示
  1174.               dis_mode3();          
  1175.       }
  1176.       else
  1177.           {
  1178.             dis_time_mode1();   // 模式1
  1179.             if(now.hour==0&&now.minute==0&&now.second==0) dis_date_mode1();
  1180.           }
  1181.           save_time=now;        // 重新记录作下次比较
  1182.           t0_isr=0;
  1183.         }
  1184.   
  1185.   
  1186.   /*-------------------- 温度计提示按键操作 ----------------------*/
  1187.          
  1188.         if(temprun)
  1189.         {
  1190.           if(!oth_run)
  1191.           {
  1192.             display_cnasc(0x96,2," key");    // 温度计时提示按键操作
  1193.                 for(dis[1]=0;dis[1]<250;dis[1]++)
  1194.             {
  1195.               delay(1000);
  1196.                   if(keyboard!=0xf0)
  1197.                   {
  1198.                     keyboard=0x7f;
  1199.                         if(keyboard==0x7d) return 0x7d;
  1200.                         else  keyboard=0xf0;
  1201.                   }
  1202.             }
  1203.                 oth_run=1;
  1204.                 display_cnasc(0x96,2,"    ");
  1205.           }
  1206.         }
  1207.   
  1208.   
  1209.    /*------------------------ 蜂鸣器警报 --------------------------*/

  1210.        
  1211.         if(((alm_run&&alm)||thl_als)&&!buzstop)        // 温度,闹铃蜂鸣器警报
  1212.         {                                                                            // 有按键按下时停止
  1213.           if(now.second==0)        lcd_bl=close;
  1214.           delay(15000);                                                        // 读出温度时间比较
  1215.           if(keyboard!=0xf0) break;
  1216.           delay(15000);
  1217.           if(keyboard!=0xf0) break;
  1218.           delay(15000);
  1219.           if(keyboard!=0xf0) break;
  1220.           delay(15000);
  1221.           if(alm_run)  lcd_bl=!lcd_bl;                        // 只在闹铃功能闪
  1222.           if(!als)buzzer=!buzzer;               // 警报开启后蜂鸣器开启                            
  1223.         }


  1224.   /*----------------------- 温度刷新显示 -------------------------*/

  1225.          
  1226.         if((temprun&&oth_run)||(b20time&&!di0&&!di1&&dis_sig))    // 温度计功能于模式1界面
  1227.     {  
  1228.          
  1229.             ds18b20_func();                                                  // 温度检测功能
  1230.                 oth_run=0;                                    // 作交替标记用
  1231.             if(temprun) return 20;                              // 温度计开启后返回
  1232.             if(b20time){ dis_temp_mode1();b20time=0;} // 时钟界面5分钟更新一次,T0计时
  1233.     }

  1234.   
  1235.    
  1236.    /*------------------------ 篮球器显示时间 ------------------------*/
  1237.        
  1238.         if(nba_tim&!oth_run)            // 当篮球器模式下 oth_run==0为篮球器计时状态
  1239.         {
  1240.           if(EA) display_cnasc(0x8b,2," R\x10 ");  // 显示运行状态
  1241.           else  display_cnasc(0x8b,2," S! ");
  1242.           if(t0_isr)
  1243.           {                                                                                   // 更新时间
  1244.             nba_dis_time();
  1245.                 if(resu[2]==0&&resu[3]==0)                           // 判断比赛情况
  1246.                 {
  1247.                   resu[6]++;
  1248.                   if(resu[6]<resu[1])  display_cnasc(0x85,3,"休息  ");
  1249.                   else  display_cnasc(0x85,3,"完结  ");
  1250.                 }
  1251.                 if(resu[4]==0||(resu[2]==0&&resu[3]==0))  // 时间到达警报
  1252.             {
  1253.                buzzer=open;
  1254.                delay_pw();
  1255.                    if(resu[2]==0&&resu[3]==0)  // 24秒 总时间警报
  1256.                    {
  1257.                      delay_pw();
  1258.                    }
  1259.                buzzer=close;
  1260.                    if(resu[4]==0) resu[4]=24;  // 重新赋值
  1261.             }
  1262.             t0_isr=0;                                           // 清零等下次中断产生
  1263.           }
  1264.         }
  1265.   }

  1266.                           
  1267.                                 /*===== 以上等待按键 =====*/



  1268.   /*------------------------- 按键按下后 --------------------------*/

  1269.   
  1270.   delay(8000);                      // 消抖振
  1271.   if(!lock_op)bl_delay=15;   // 背光时间赋值
  1272.   if(bl1) lcd_bl=close;             // 不在背光灯关闭时亮起
  1273.   else lcd_bl=open;
  1274.   if(alm_run|thl_als) {buzstop=1;buzzer=close;}
  1275.   if(keb&&dis_sig)
  1276.   {
  1277.     if(resu[11]==2) return 255;  // 要求输入密码       
  1278.           else resu[11]=0;                         // 时间不到时重新计时
  1279.   }
  1280.   do
  1281.   {           
  1282.         for(dis[0]=0;dis[0]<=3;dis[0]++)
  1283.     {
  1284.       keyboard=ksp[dis[0]];
  1285.           if(keyboard!=ksp[dis[0]])
  1286.       {       
  1287.         key=keyboard;
  1288.         if(key!=ksp[dis[0]]) return(key);
  1289.       }
  1290.     }
  1291.   }while(1);
  1292. }


  1293. /*读键盘数据*/
  1294. uchar  gotkey()
  1295. {
  1296.   uchar  save,n;
  1297.   keyboard=0xf0;
  1298.   while(keyboard!=0xf0);    // 等待键盘松开
  1299.   delay(5000);                    // 消抖阵
  1300.   if(adju_si)                     // 连写输入
  1301.   {
  1302.         adju_si=0;
  1303.         for(n=0;n<60;n++)
  1304.           {
  1305.           delay(2000);
  1306.           if(keyboard!=0xf0)
  1307.           {
  1308.             adju_si=1;          // 在更改时,在此时间内可连成多位数
  1309.             break;
  1310.           }
  1311.     }
  1312.   }                       
  1313.   save=keypad_scan();            // 扫描键盘
  1314.   if(save==255)        return 255;        // 要求输入键盘锁密码或延时时间到达
  1315.   for (n=0;n<16;n++)
  1316.   {  
  1317.         if(save==key_code[n])
  1318.            return(n);
  1319.   }
  1320.   return(20);
  1321. }



  1322. /*=====================================================================================
  1323. 函数名称:导航
  1324. 功能描述:主菜单 工具导航  保存提示
  1325. 全局变量:无
  1326. 参数说明:
  1327. 返回说明:无
  1328. 设 计 人:LIJH
  1329. 版    本:1.0
  1330. 说    明:
  1331. ======================================================================================*/


  1332. /*按键按下显示 参数格式:k 按钮的显示地址*/
  1333. void key_dis(uchar k)
  1334. {
  1335.   display_cnasc(k,1,"\x04 ");
  1336.   delay(10000);
  1337.   if(k==0x92||k==0x96) display_cnasc(k,1,"\x1e ");
  1338.   else display_cnasc(k,1,"\x1f ");
  1339. }



  1340. /*确定按键按下显示*/
  1341. void enter_dis(void)
  1342. {
  1343.   display_cnasc(0x8f,1," \x09");
  1344.   delay(15000);
  1345. }



  1346. /*主菜单导航*/
  1347. uchar dis_menu(void)
  1348. {
  1349.   uchar d,save,key;
  1350.   if(meu)
  1351.   {  
  1352.     display_cnasc(0x81,2,"菜单");
  1353.         dis_title();
  1354.         display_cnasc(0x88,8,selected[0]);
  1355.         con_disp(0xff,0xff,0x8a,0x80,5,16);
  1356.   }
  1357.   else          // 显示图片菜单
  1358.   {
  1359.     dis_title_pic();
  1360.         for(d=0;d<6;d++)  
  1361.       anti_nor_pic(0,d);
  1362.         anti_nor_pic(1,0);
  1363.   }      
  1364.   save=d=0;
  1365.   do
  1366.   {
  1367.         if(meu)          // 操作指示更新
  1368.         {          
  1369.           display_cnasc(0x93,3,menu_cn_2[index_m[save].left_num]);
  1370.           display_cnasc(0x8b,3,menu_cn_2[save]);
  1371.           display_cnasc(0x9b,3,menu_cn_2[index_m[save].right_num]);
  1372.     }
  1373.         else
  1374.         {
  1375.           anti_nor_pic(0,d);     
  1376.           anti_nor_pic(1,save);
  1377.         }
  1378.         d=save;                              // 保存上一索引
  1379.         while(1)
  1380.         {
  1381.           key=gotkey();
  1382.           if(key==11||(key==3&&meu))
  1383.           {
  1384.             if(meu) enter_dis();
  1385.                 break;
  1386.           }
  1387.           else if(meu)
  1388.           {
  1389.             if(key==2)
  1390.                 {
  1391.                   save=index_m[d].right_num;
  1392.                   key_dis(0x9a);
  1393.                   break;
  1394.                 }
  1395.             if(key==5)
  1396.                 {
  1397.                   save=index_m[d].left_num;
  1398.                   key_dis(0x92);
  1399.                   break;
  1400.                 }
  1401.                 if((key==1&&meu))
  1402.             {
  1403.               d=5;
  1404.                   break;
  1405.             }
  1406.           }
  1407.           else
  1408.           {
  1409.             if(key==3){save=index_m[d].right_num; break;} // 根据导航键寻找索引
  1410.             if(key==1){save=index_m[d].left_num; break;}
  1411.                 if(key==2||key==5){save=index_m[d].shaft_num; break;}  
  1412.       }
  1413.         }
  1414.         if(key==11||((key==1|key==3)&&meu)) break;
  1415.   }while(1);
  1416.   return d;
  1417. }



  1418. /*工具导航*/
  1419. uchar tool_func(void)
  1420. {
  1421.   uchar save,d,key;
  1422.   display_cnasc(0x81,2,"工具");
  1423.   dis_title();
  1424.   display_cnasc(0x88,8,selected[0]);
  1425.   con_disp(0xff,0xff,0x8a,0x80,5,16);
  1426.   d=0;
  1427.   save=0;
  1428.   do
  1429.   {          
  1430.         display_cnasc(0x93,3,tool_menu[index_t[save].lnum]);
  1431.         display_cnasc(0x8b,3,tool_menu[save]);
  1432.         display_cnasc(0x9b,3,tool_menu[index_t[save].rnum]);
  1433.         d=save;
  1434.         while(1)
  1435.         {
  1436.           key=gotkey();
  1437.           if(key==1){ d=4;break;}                                // 根据按键寻找索引
  1438.           if(key==11||key==3){enter_dis();break;}
  1439.           if(key==2){save=index_t[d].rnum; key_dis(0x9a);break;}
  1440.           if(key==5){save=index_t[d].lnum; key_dis(0x92);break;}
  1441.     }
  1442.         if(key==11||key==3||key==1) break;
  1443.   }while(1);
  1444.   return d;
  1445. }




  1446. /*更改后提示保存*/
  1447. void save_y_or_n(void)
  1448. {
  1449.   uchar key;
  1450.   clear_dis();
  1451.   oth_run=0;          
  1452.   display_cnasc(0x92,5,"是否保存? ");
  1453.   display_cnasc(0x89,6,"Y(OK)\\N(./S)");
  1454.   while(1)
  1455.   {
  1456.         key=gotkey();
  1457.         if(key==11)
  1458.         {
  1459.           display_cnasc(0x9a,5,"保存成功! ");
  1460.           delay(50000);
  1461.           oth_run=1;         // 要求保存时oth_run标记为1
  1462.           return;
  1463.         }
  1464.         if(key==10) return;
  1465.   }
  1466. }


  1467. /*=====================================================================================
  1468. 函数名称:调整功能
  1469. 功能描述:时间日期调整
  1470. 全局变量:today  now
  1471. 参数说明:见函数
  1472. 返回说明:无
  1473. 设 计 人:LIJH
  1474. 版    本:1.0
  1475. 说    明:
  1476. ======================================================================================*/


  1477. /*调整功能显示时间*/
  1478. void asjust_time()
  1479. {
  1480.   uchar save[2];
  1481.   display_cnasc(0x8a,1,"时");
  1482.   display_cnasc(0x8c,1,"分");
  1483.   display_cnasc(0x8e,1,"秒");
  1484.   save[0]=char_num[now.hour>>4];
  1485.   save[1]=char_num[now.hour&0x0f];
  1486.   display_cnasc(0x89,1,save);
  1487.   save[0]=char_num[now.minute>>4];
  1488.   save[1]=char_num[now.minute&0x0f];
  1489.   display_cnasc(0x8b,1,save);
  1490.   save[0]=char_num[now.second>>4];
  1491.   save[1]=char_num[now.second&0x0f];
  1492.   display_cnasc(0x8d,1,save);
  1493. }


  1494. /*调整功能月天数比较*/
  1495. uchar monthday(uchar year,uchar month)
  1496. {
  1497.   if(month==2&&year%4==0)
  1498.     return(29);
  1499.   else
  1500.   {        year=month&0x0f;
  1501.     month>>=4;
  1502.         month=month*10+year;       
  1503.     return(dayofmonth[month-1]);}
  1504. }



  1505. /*星期推算*/
  1506. void weeks_day()
  1507. {
  1508.   uchar d,buffer,day=4;
  1509.   if(today.month>1)
  1510.   {
  1511.     buffer=(today.month>>4);   // 将BCD码转为十进制
  1512.         buffer=buffer*10;
  1513.         buffer+=(today.month&0x0f);
  1514.         for(d=0;d<buffer-1;d++)
  1515.         {
  1516.           today.week=(dayofmonth[d]>>4);
  1517.           today.week=today.week*10;
  1518.           today.week+=(dayofmonth[d]&0x0f);
  1519.       day+=(today.week%7);
  1520.         }
  1521.   }
  1522.   buffer=(today.year>>4);
  1523.   buffer*=10;
  1524.   buffer+=(today.year&0x0f);
  1525.   if(today.year!=0)
  1526.   {
  1527.         day+=((buffer-1)/4)+buffer+1;
  1528.   }
  1529.   if(buffer%4==0&&today.month>2) day++;
  1530.   buffer=today.day>>4;
  1531.   buffer*=10;
  1532.   buffer+=(today.day&0x0f);
  1533.   today.week=(day+buffer)%7;
  1534. }



  1535. /*调整功能*/
  1536. void adjust_func(void)
  1537. {
  1538.   uchar d,save,b=0;
  1539.   dis_title_e();
  1540.   display_cnasc(0x82,3,menu_cn_2[0]);
  1541.   rds1302_date();
  1542.   rds1302_time();
  1543.   dis_date_mode2(0x90);
  1544.   display_cnasc(0x9a,1,"  ");
  1545.   asjust_time();
  1546.   display_cnasc(0x9f,1,"→");
  1547.   display_cnasc(0x90,1,"『");
  1548.   display_cnasc(0x8f,1,"』");
  1549.   d=0;save=0;
  1550.   adju_si=0;
  1551.   do
  1552.   {
  1553.     con_disp(0x00,0x00,index_a[d].lnum,index_a[d].rnum,1,13);
  1554.     con_disp(0xff,0xff,index_a[save].lnum,index_a[save].rnum,1,13);
  1555.         d=save;
  1556.         while(1)
  1557.         {
  1558.           save=gotkey();
  1559.           if(save>=0&&save<10)
  1560.           {
  1561.             switch(d)
  1562.             {
  1563.               case 0: if(adju_si) // adju_si==1时两次输入连成一个数
  1564.                           {
  1565.                                     today.year<<=4;
  1566.                                 today.year+=save;adju_si=0;
  1567.                                   }
  1568.                       else
  1569.                               {
  1570.                                 today.year=save;adju_si=1;
  1571.                               }
  1572.                           break;
  1573.                   case 1: if(adju_si)
  1574.                           {
  1575.                                     today.month<<=4;today.month+=save;
  1576.                             if(today.month>0x12) today.month=save;
  1577.                     else adju_si=0;
  1578.                                   }
  1579.                                   else  
  1580.                                   {
  1581.                                     today.month=save;adju_si=1;
  1582.                                   }
  1583.                                   break;
  1584.                   case 2: if(adju_si)
  1585.                           {
  1586.                                     today.day<<=4;today.day+=save;  // 月天数比较
  1587.                                         if(today.year%4==0&&today.month==2&&today.day==29) ;
  1588.                             else if(today.day>monthday(today.year,today.month))
  1589.                                           today.day=save;
  1590.                                         else adju_si=0;
  1591.                                   }
  1592.                                   else  {today.day=save;adju_si=1;}
  1593.                                   break;
  1594.                   case 3: if(adju_si)
  1595.                           {
  1596.                                     now.hour<<=4;now.hour+=save;
  1597.                                           if(now.hour>0x23) now.hour=save;
  1598.                                         else adju_si=0;
  1599.                                   }
  1600.                                   else  {now.hour=save;adju_si=1;}
  1601.                                   break;
  1602.                   case 4: if(adju_si)
  1603.                           {
  1604.                                     now.minute<<=4;now.minute+=save;
  1605.                                           if(now.minute>0x59) now.minute=save;
  1606.                                         else adju_si=0;
  1607.                                   }
  1608.                                   else  {now.minute=save;adju_si=1;}
  1609.                                   break;
  1610.                   case 5: if(adju_si)
  1611.                           {
  1612.                                     now.second<<=4;now.second+=save;
  1613.                                           if(now.second>0x59) now.second=save;
  1614.                                         else adju_si=0;
  1615.                                   }
  1616.                                   else  {now.second=save;adju_si=1;}
  1617.                                   break;
  1618.                 }
  1619.                 if(today.day>monthday(today.year,today.month)) today.day=1;        // 更改月份时判断天数
  1620.                 if(today.month==0) today.month=1;
  1621.                 if(today.day==0) today.day=1;
  1622.                 if(d<3) dis_date_mode2(0x90);         // 更新显示
  1623.         else asjust_time();
  1624.                 display_cnasc(0x9a,1,"  ");                // 消去星期显示
  1625.       }
  1626.           if(save==11){save=d+1;adju_si=0;break;}
  1627.           if(save==10&&d!=0){save=d-1;adju_si=0;break;}
  1628.         }
  1629.     if(save==7&d==6) break;
  1630.   }while(1);
  1631.   weeks_day();          // 计算星期
  1632.   save_y_or_n();  // 需要保存时oth_run设为1
  1633.   if(oth_run) wds1302_time_date();
  1634. }




  1635. /*=====================================================================================
  1636. 函数名称:计算器功能
  1637. 功能描述:10位带符号数的加减乘除运算
  1638. 全局变量:opera  resu  i  j
  1639. 参数说明:见函数
  1640. 返回说明:无
  1641. 设 计 人:LIJH
  1642. 版    本:1.0
  1643. 说    明:
  1644. ======================================================================================*/



  1645. /*清寄存器  参数格式:m=2时结果寄存器,当m=1时操作数1,当m=0时操作数0(1),
  1646.                         n=1时,只清寄存器不清显示, 0两清*/
  1647. void removal_data(uchar m,uchar n)
  1648. {
  1649.   uchar l;                // 当n=1时,只清寄存器不清显示, 0两清
  1650.   if(m==2)                // 当m=2时结果寄存器
  1651.   {                            // 当m=1时操作数1
  1652.     if(n==0)        // 当m=0时操作数0 ,1
  1653.           for(l=0;l<6;l++)
  1654.             display_cnasc(0x98+l,1,"  ");
  1655.     for(l=0;l<12;l++)
  1656.       resu[l]=0;
  1657.   }
  1658.   while(m<2)
  1659.   {
  1660.     if(n==0)
  1661.         {
  1662.           if(m==0)
  1663.           {  
  1664.             for(l=0;l<6;l++)
  1665.               display_cnasc(0x90+l,1,"  ");
  1666.                   display_cnasc(0x95,1," 0");
  1667.           }       
  1668.           else
  1669.              for(l=0;l<6;l++)
  1670.                 display_cnasc(0x88+l,1,"  ");
  1671.         }
  1672.     for(l=0;l<12;l++)
  1673.       opera[m][l]=0;
  1674.         m++;
  1675.   }
  1676. }




  1677. /*显示数值  参数格式:显示首地址*/
  1678. void dis_cdata(uchar ass)
  1679. {
  1680.   uchar p,d,save[2];
  1681.   if(i==0&&opera[0][0]==1)                 // 显示符号
  1682.   {
  1683.     if(cal_run)  display_cnasc(0x98,1,"=-");
  1684.     else  display_cnasc(0x90,1," -");
  1685.   }
  1686.   d=opera[i][11];                                // 计算位数
  1687.   if(opera[i][10]!=0&&opera[i][10]!=opera[i][11]+1) d++;
  1688.   save[0]=d/2;ass=ass-save[0]+5;        // 计算显示的开始位置
  1689.   p=1;
  1690.   cal_del=0;                                        // 显示点标记 (0为没有显示)
  1691.   if(d%2==1)                                        // 对位数位奇数先处理首位
  1692.   {
  1693.     save[0]=' ';
  1694.     save[1]=char_num[opera[i][1]];
  1695.         display_cnasc(ass,1,save);
  1696.         p++;
  1697.   }
  1698.   ass++;                                                // 显示地址加一
  1699.   while(p<=opera[i][11])
  1700.   {                                                                       
  1701.     if(opera[i][10]==p&&(!cal_del)) {   // 显示点且作标记
  1702.           save[0]='.';cal_del=1;}
  1703.     else {
  1704.           save[0]=char_num[opera[i][p]]; p++;}
  1705.     if(opera[i][10]==p&&(!cal_del)) {
  1706.           save[1]='.';cal_del=1;}
  1707.     else{
  1708.           save[1]=char_num[opera[i][p]];p++;}
  1709.     display_cnasc(ass,1,save);
  1710.     ass++;
  1711.   }
  1712. }   


  1713. /*从键盘中写入数据*/   
  1714. char input_data()
  1715. {
  1716.   uchar save=20,c;
  1717.   for(;i<2;i++)
  1718.   {
  1719.     while(1)
  1720.     {
  1721. pp:   save=gotkey();        // 读键盘
  1722.           if(save==20) continue;
  1723.           if(save==11)                // 按键为确定时,选择计算或删除
  1724.           {
  1725.             c=save=0;
  1726.                 while(1)                // 反色选择
  1727.             {
  1728.                   con_disp (0x00,0x00,index_cal[save].lnum,index_cal[save].rnum,2,16);
  1729.                   con_disp (0xff,0xff,index_cal[c].lnum,index_cal[c].rnum,2,16);       
  1730.                   save=gotkey();
  1731.                   if(save==10)
  1732.                   {
  1733.                     save=c;
  1734.                         if(++c==3) c=0;
  1735.                   }
  1736.                   else if(save==11)
  1737.                   {
  1738.             if(c==0) save=11;
  1739.                     else if(c==1) save=16;
  1740.                         else  return 0;
  1741.                     break;
  1742.                   }
  1743.                   else break;
  1744.         }
  1745.                 con_disp (0x00,0x00,index_cal[c].lnum,index_cal[c].rnum,2,16);            
  1746.           }
  1747.       if(save>=0&&save<=9&&j<10)
  1748.           {
  1749.             if(i==1&&opera[1][0]==15&&j>8) goto pp;
  1750.         if(j==2&&opera[i][1]==0&&opera[i][10]==0)
  1751.           opera[i][1]=save;                // 防止小数位不在时首位为零
  1752.             else{
  1753.                   opera[i][j]=save;opera[i][11]=j;j++;}
  1754.                 if(i==0)                                // 显示
  1755.                   dis_cdata(0x90);
  1756.                 else
  1757.                   dis_cdata(0x88);
  1758.           }
  1759.       else if(save==10&&j<10)       
  1760.       {
  1761.             if(opera[i][10]==0)                // 小数点空时保存
  1762.             {
  1763.                   if(opera[i][11]==0) {opera[i][10]=2;j++;}
  1764.               else  opera[i][10]=j;
  1765.             }
  1766.           }
  1767.           else if(save==16)       
  1768.           {                           // 删除数据
  1769.         removal_data(i,0);j=1;
  1770.                 if(i!=0){i--;j=10;}
  1771.       }
  1772.           else if(i==1&&opera[1][11]!=0&&save>11&&save<16)
  1773.       { save1=save;break; }                   // 连续计算时保存运算符
  1774.       else if(save==11&&opera[1][11]==0) continue;// 操作数1为0时不理会
  1775.           else if(save==11&&opera[1][11]!=0)  break;
  1776.           else if((i==0||i==1&&j==1)&&save>11&&save<16){i=0; break;}   
  1777.        // 当操作数0由运算符结束输入,再按符号键更改
  1778.           else continue;
  1779.     }
  1780.     if(opera[i][10]>opera[i][11]||opera[i][10]==0)
  1781.       opera[i][10]=opera[i][11]+1;        // 整数时小数位保存到最后
  1782.     while(opera[i][11]>=opera[i][10]&&opera[i][opera[i][11]]==0)
  1783.       opera[i][11]--;                                // 去除小数点后多余的0
  1784.     if(i==0)
  1785.     {
  1786.       opera[1][0]=save;                        // 保存运算符并显示
  1787.       display_cnasc(0x88,1,tool_cal[save-12]);
  1788.     }
  1789.     if(opera[0][11]==0) display_cnasc(0x95,1," 0");
  1790.     j=1;
  1791.     while(j<=opera[i][11]&&opera[i][j]==0) j++;//判断是否操作数是否全为0
  1792.     j--;
  1793.     if(j==opera[i][11]) opera[i][11]=0;
  1794.     j=1;
  1795.   }
  1796.   return 1;
  1797. }


  1798. /****************************************************************************************
  1799. 功能:  乘法运算
  1800. 描述:  结果由右到左保存
  1801. 参数:  i , j , opera[i][j],p,q,r,k,save1
  1802. 返回值:1(成功),0(结果位0),-1(溢出)
  1803. /****************************************************************************************/

  1804. char multiplication()
  1805. {
  1806.   uchar p,q,r,k,save1=0; // p指向操作数0 ,q指向操作数1,r指向结果
  1807.   if(opera[0][11]==0||opera[1][11]==0) return 0;
  1808.   resu[10]=opera[0][11]+opera[1][11]-opera[0][10]-opera[1][10]+2; // RESU记录小数点后的位数
  1809.   q=opera[1][11];                                        // 记录操1的位数
  1810.   r=9;
  1811.   opera[1][11]=1;
  1812.   k=1;
  1813.   while(opera[1][k]==0) {opera[1][11]=opera[1][11]+1;k++;}        // 去除前端的0
  1814.   k=1;
  1815.   while(opera[0][k]==0) k++;                        // 去除前端的0
  1816.   resu[11]=9;
  1817.   for(resu[0]=9;q>=opera[1][11];q--,resu[0]--)
  1818.   {
  1819.     if(opera[1][q]==0) continue;                // 操1此位数为0时直接比较
  1820.     for(p=opera[0][11],r=resu[0];p>=k;p--)
  1821.     {
  1822.       save1=opera[0][p]*opera[1][q];                // 将相乘后的数保存
  1823.       resu[r]+=(save1%10);//将缓存中的数取余后加到结果中R指向的位
  1824.       save1/=10;
  1825.       save1=save1+(resu[r]/10);                        // 保存进位的数
  1826.       resu[r]%=10;                                        // 重新写入
  1827.           if(r==1)        //结果有溢出时移位
  1828.           {
  1829.             if(save1==0&&p==k) break;        // 计算到最后位且没进位跳出
  1830.             else if(resu[10]>0)                // 要求有小数存在
  1831.         {
  1832.               for(r=9;r>1;r--) resu[r]=resu[r-1];
  1833.               r=1;
  1834.           resu[r]=save1;
  1835.               resu[11]=1;
  1836.               resu[10]--;
  1837.               resu[0]++;
  1838.         }   
  1839.             else   return -1;                         // 否则溢出
  1840.           }
  1841.           if(r>1&&(r-1)<resu[11])                        // 保存结果位的最高位
  1842.           {
  1843.             if(save1!=0) resu[11]=r-1;
  1844.             else resu[11]=r;
  1845.           }
  1846.           if(r>1){ r--;resu[r]+=save1;}// 当R为1时只需要移位记录结果
  1847.     }
  1848.   }
  1849.   while(resu[resu[11]]==0) resu[11]++;         // 去除前端的0
  1850.   resu[0]=opera[0][0];                                // 保存符号位
  1851.   removal_data(0,1);                                        // 清数据不清显示
  1852.   if(resu[10]>8)   //如果小数点后的数有9 位或以上时,需后移到使小数点放到2的位置  
  1853.   {
  1854.     save1=resu[10]-10+resu[11];                 // 记录后移位数
  1855.     if(save1>7)  return 0;          //当后移的数大于有效数字的位数时结果为0
  1856.     else         // 否则按需移位
  1857.     {
  1858.       for(p=save1+2,r=resu[11];p<10;p++,r++) opera[0][p]=resu[r];
  1859.           opera[0][10]=2;opera[0][11]=9;opera[0][0]=resu[0];
  1860.     }                // 因有效数小于小数点后的数,所以首位为0  
  1861.   }       
  1862.   else          //如果小数点后的数在8位以内时分两种情况
  1863.   {       
  1864.     opera[0][0]=resu[0];  
  1865.     save1=10-resu[11];         // 记录有效位数
  1866.     if(resu[10]>=save1)         // 小数的在有效数字的左边
  1867.     {       
  1868.           for(p=resu[10]+1,r=9;r>=resu[11];p--,r--)
  1869.         opera[0][p]=resu[r];        // 从小数点的最后一位开始
  1870.       opera[0][10]=2;opera[0][11]=resu[10]+1;
  1871.     }
  1872.     else                                  // 小数点在有效数字内
  1873.     {  
  1874.           for(p=1,r=resu[11];r<10;p++,r++) opera[0][p]=resu[r];
  1875.             opera[0][11]=10-resu[11];
  1876.           if(resu[10]==0) opera[0][10]=opera[0][11]+1;
  1877.           else opera[0][10]=opera[0][11]-resu[10]+1;
  1878.     }
  1879.   }
  1880.   while(opera[0][11]>=opera[0][10]&&opera[0][opera[0][11]]==0)
  1881.     opera[0][11]--;          // 去除小数点后多余的0
  1882.   return 1;
  1883. }


  1884. /****************************************************************************************
  1885. 功能:  除法运算
  1886. 描述:  结果由左到右保存
  1887. 参数:  i , j , opera[i][j]
  1888. p,q,r, remainde, divisor, decimal
  1889. 返回值:1(成功),0(结果位0),-1(溢出)
  1890. *****************************************************************************************/

  1891. char divider()
  1892. {
  1893.   unsigned long int divisor=0,remainder=0;
  1894.   uchar p,q,r;
  1895.   char decimal=0;
  1896.   if(opera[1][11]==0) return -1;
  1897.   if(opera[0][11]==0) return 0;
  1898.   for(r=1;r<12;r++)                // 判断两数是否相等
  1899.   {
  1900.     if(opera[0][r]!=opera[1][r]) break;
  1901.   }
  1902.   if(r==12)
  1903.   {
  1904.     p=opera[0][0];
  1905.     removal_data(0,1);
  1906.     opera[0][0]=r;
  1907.     opera[0][1]=1;
  1908.     opera[0][10]=2;
  1909.     opera[0][11]=1;
  1910.     return 1;
  1911.   }  
  1912.   decimal=(opera[0][11]-opera[0][10])-(opera[1][11]-opera[1][10]);
  1913.   // 记录两操作数小数点后位数的差
  1914.   if(decimal>0)                // 若操0大于操1时重新记录小数点的位置
  1915.   {
  1916.     opera[0][10]=opera[0][11]-decimal+1;
  1917.     resu[10]=opera[0][10];
  1918.   }
  1919.   else          // 否则小数点后没有数,记录有效数的位数
  1920.   {       
  1921.     opera[0][11]-=decimal;
  1922.     opera[0][10]=opera[0][11]+1;
  1923.     resu[10]=opera[0][10];
  1924.   }
  1925.   q=1;
  1926.   divisor=0;
  1927.   while(q<=opera[1][11]) // 将操1的数整形化
  1928.   {
  1929.     divisor=divisor*10+opera[1][q];q++;
  1930.   }
  1931.   if(divisor==1)   
  1932.   {
  1933.     if(resu[10]>10)        return -1;
  1934.         else return 1;
  1935.   }
  1936.   r=1;p=1;
  1937.   remainder=(unsigned long int)opera[0][1]; // 先对余数寄存器附初值
  1938.   do
  1939.   {   
  1940.         if(r>9)  // 结果位已经到达最后1位
  1941.         {  
  1942.             if(resu[1]==0&&resu[10]!=2) // 判断是否有得移位
  1943.           {        
  1944.             for(r=1;r<9;r++) resu[r]=resu[r+1];       
  1945.             resu[9]=0;
  1946.             if(resu[10]!=0) resu[10]--;
  1947.             r=9;
  1948.           }
  1949.       else if(p>=opera[0][11]||p>=opera[0][10])  break; // 如果计算到最后一位,或者有小数点存在时结束
  1950.       else return -1; // 否则错误
  1951.         }
  1952.         resu[r]=(uchar)(remainder/divisor);        // 保存结果
  1953.     remainder=(remainder%divisor);                // 重新写入余数
  1954.         p++;r++;         
  1955.     if(p>opera[0][11]&&remainder==0) break; // 除尽后跳出
  1956.     else if(p<=opera[0][11]&&p<10)                // 余数*10后加后一位
  1957.       {remainder=remainder*10+opera[0][p];}
  1958.     else   remainder=remainder*10;         //超出后余数*10
  1959.   }while(1);
  1960.   resu[11]=r-1;
  1961.   resu[0]=opera[0][0];
  1962.   removal_data(0,1);
  1963.   r=1;   
  1964.   while(resu[r]==0&&resu[10]!=(r+1)&&r<resu[11]) r++;
  1965. //去除结果前端的0
  1966.   if(r==resu[11]) return 0;
  1967.   resu[10]=resu[10]-r+1;
  1968.   p=1;
  1969.   while(r<=resu[11]){opera[0][p]=resu[r];r++;p++;}
  1970.   opera[0][0]=resu[0];opera[0][10]=resu[10];opera[0][11]=p-1;
  1971.   while(opera[0][11]>=opera[0][10]&&opera[0][opera[0][11]]==0)
  1972.     opera[0][11]--;
  1973.   return 1;
  1974. }


  1975. /****************************************************************************************
  1976. 功能:  加法运算
  1977. 描述:  以小数点位中心两边相加
  1978. 参数:  i , j , opera[i][j],p,q,r
  1979. 返回值:1(成功),0(结果位0),-1(溢出)
  1980. /****************************************************************************************/

  1981. char adder()
  1982. {
  1983.   uchar p,q,r;
  1984.   if(opera[0][11]==0&&opera[1][11]==0)   return 0;
  1985.   else if(opera[0][11]==0)
  1986.     {for(q=0;q<12;q++) opera[0][q]=opera[1][q];return 1;}
  1987.   else if(opera[1][11]==0) return 1;
  1988.   else
  1989.   {
  1990.     p=opera[0][10]-1;
  1991.     q=opera[1][10]-1;
  1992.     p>q?(r=p):(r=q);
  1993.     resu[10]=r+1;                // 小数点位保存
  1994.     resu[0]=opera[0][0];        // 保存符号位
  1995.     while(r>0)                        // 将小数点前的数相应相加后放到结果中
  1996.     {
  1997.       if(p>0&&q>0){resu[r]=opera[0][p]+opera[1][q];p--;q--;}
  1998.       else if(p>0&&q==0){resu[r]=opera[0][p];p--;}
  1999.       else        {resu[r]=opera[1][q];q--;}
  2000.       r--;
  2001.     }
  2002.     r=resu[10];
  2003.     p=opera[0][10];
  2004.     q=opera[1][10];
  2005.     while(r<10)                        // 将小数点后的数放到结果中
  2006.     {
  2007.       if(p>opera[0][11]&&q>opera[1][11]) break;
  2008.       else if(p<=opera[0][11]&&q<=opera[1][11])
  2009.         {resu[r]=opera[0][p]+opera[1][q];p++;q++;}
  2010.       else if(p<=opera[0][11]&&q>opera[1][11])
  2011.         {resu[r]=opera[0][p];p++;}
  2012.       else        {resu[r]=opera[1][q];q++;}
  2013.       r++;
  2014.     }
  2015.     r--;
  2016.     resu[11]=r;
  2017.     p=0;
  2018.     while(r>0)                        // 由右到左将大于9的数进位
  2019.     {
  2020.       resu[r]+=p;                        // p为进位标记
  2021.       p=0;
  2022.       if(resu[r]>9) {resu[r]-=10;p=1;}
  2023.       r--;
  2024.     }
  2025.     if(p==1)                                // 溢出移位
  2026.     {
  2027.       if(resu[10]==10) return -1;
  2028.       else {
  2029.         for(r=9;r>1;r--) resu[r]=resu[r-1];
  2030.         resu[1]=1;resu[10]++;}
  2031.     }
  2032.    removal_data(0,1);
  2033.    r=0;
  2034.    while(r<12){opera[0][r]=resu[r];r++;}
  2035.    while(opera[0][11]>=opera[0][10]&&opera[0][opera[0][11]]==0)
  2036.      opera[0][11]--;
  2037.    return 1;
  2038.   }
  2039. }

  2040. /****************************************************************************************
  2041. 功能:  减法运算
  2042. 描述:  先比较两操作数的大小用one ,two互换
  2043. 参数:  i , j , opera[i][j],p,q,r,one,two
  2044. 返回值:1(成功),0(结果位0),-1(溢出)
  2045. /****************************************************************************************/

  2046. char subtraction()
  2047. {
  2048.   uchar p,q,r,one,two;
  2049.   if(opera[0][11]==0&&opera[1][11]==0)   return 0;
  2050.   else if(opera[0][11]==0)
  2051.   {
  2052.     for(q=1;q<12;q++)
  2053.     opera[0][q]=opera[1][q];
  2054.         opera[0][0]=!opera[0][0];return 1;
  2055.   }
  2056.   else if(opera[1][11]==0) return 1;
  2057.   else
  2058.   { // 比较大小(大放到one)
  2059.     if(opera[0][10]>opera[1][10]){one=0;two=1;}
  2060.     else if(opera[1][10]>opera[0][10]) {one=1;two=0;}
  2061.     else
  2062.     {
  2063.       r=1;
  2064.           while(r<10&&opera[0][r]==opera[1][r]) r++;
  2065.           if(r==10) {removal_data(0,1);return 0;}
  2066.       opera[0][r]>opera[1][r]?(one=0,two=1):(one=1,two=0);
  2067.     }
  2068.     p=opera[one][10]-1;
  2069.     q=opera[two][10]-1;
  2070.     r=p;                        // 保存小数点前的数
  2071.     resu[10]=r+1;        // 保存小数点位
  2072.     resu[0]=0;                // 用作借位标记
  2073.     while(r>0)                // 小数点前相减
  2074.     {
  2075.       if(p>0&&q>0)
  2076.           {
  2077.             opera[two][q]+=resu[0];
  2078.         resu[0]=0;
  2079.         if(opera[one][p]>=opera[two][q])
  2080.           resu[r]=opera[one][p]-opera[two][q];
  2081.             else
  2082.             {
  2083.                   resu[0]=1;
  2084.                   resu[r]=10+opera[one][p]-opera[two][q];
  2085.             }
  2086.             p--;q--;
  2087.           }
  2088.           else
  2089.           {            
  2090.         if(opera[one][p]<resu[0])
  2091.           {resu[r]=10+opera[one][p]-resu[0];}
  2092.             else
  2093.                   {resu[r]=opera[one][p]-resu[0];resu[0]=0;p--;}
  2094.           }
  2095.       r--;
  2096.     }
  2097.     r=resu[10];
  2098.     p=opera[one][10];
  2099.     q=opera[two][10];
  2100.     while(r<10)                // 将小数点后的数放到结果中
  2101.     {
  2102.       if(p>opera[one][11]&&q>opera[two][11]) break;
  2103.       else if(p<=opera[one][11]&&q<=opera[two][11])
  2104.           {
  2105.         if(opera[one][p]>=opera[two][q])  resu[r]=opera[one][p]-opera[two][q];
  2106.             else
  2107.             {
  2108.               resu[r]=10+opera[one][p]-opera[two][q];
  2109.               resu[0]=r;
  2110.               for(r-=1;r>0;r--) // 向前借位
  2111.                   {
  2112.                 if(resu[r]==0) resu[r]=9;
  2113.                     else{resu[r]-=1;break;}
  2114.                   }
  2115.                   r=resu[0];       
  2116.             }
  2117.             p++;q++;
  2118.           }                                           
  2119.       else if(p<=opera[one][11]&&q>opera[two][11])
  2120.         {resu[r]=opera[one][p];p++;}
  2121.       else       
  2122.           {
  2123.             resu[r]=10-opera[two][q];  
  2124.             resu[0]=r;
  2125.             for(r-=1;r>0;r--)
  2126.         {
  2127.                   if(resu[r]==0) resu[r]=9;
  2128.               else{ resu[r]-=1;break;}
  2129.                 }
  2130.             r=resu[0];
  2131.             q++;       
  2132.           }
  2133.       if(r==9)                 // 结果溢出移位
  2134.           {
  2135.             if(resu[1]==0&&resu[10]!=2)
  2136.         {
  2137.           for(r=1;r<9;r++) resu[r]=resu[r+1];
  2138.                   resu[10]--;resu[9]=0;r=8;
  2139.         }
  2140.           }
  2141.       r++;
  2142.     }
  2143.     r--;
  2144.     resu[11]=r;
  2145.     if(one==0)resu[0]=opera[0][0];        // 符号位写入
  2146.     else resu[0]=!opera[0][0];
  2147.     removal_data(0,1);
  2148.     r=1;
  2149.     while(resu[r]==0&&resu[10]!=(r+1)) r++; // 去除前端0
  2150.     resu[10]=resu[10]-r+1;                        // 重新写入小数点
  2151.     p=1;
  2152.     while(r<=resu[11]){opera[0][p]=resu[r];r++;p++;}
  2153.     opera[0][0]=resu[0];opera[0][10]=resu[10];opera[0][11]=p-1;
  2154.     while(opera[0][11]>=opera[0][10]&&opera[0][opera[0][11]]==0) opera[0][11]--;
  2155.     return 1;
  2156.   }
  2157. }


  2158. /****************************************************************************************
  2159. 功能:  计算器主函数
  2160. 描述:  根据符号位,运算符调用函数
  2161. 参数:  state,save
  2162. 返回值:无
  2163. ****************************************************************************************/


  2164. void calculator(void)
  2165. {
  2166.   char data state;
  2167.   uchar data save;
  2168.   save1=0;
  2169.   dis_title_e();
  2170.   display_cnasc(0x82,3,tool_menu[0]);
  2171.   display_cnasc(0x96,2,"等于");
  2172.   display_cnasc(0x8e,2,"删除");
  2173.   display_cnasc(0x9e,2,"退出");
  2174.   removal_data(2,0);         // 0为清除显示
  2175.   removal_data(0,0);
  2176.   i=0;j=1;
  2177.   while(1)
  2178.   {       
  2179.     cal_run=0;
  2180.     state=input_data();
  2181.     if(state==1)  // 根据opera[1][0]的数值调用程序
  2182.     {
  2183.       if(opera[1][0]==12&&opera[0][0]==0||opera[1][0]==13&&opera[0][0]==1)
  2184.             state=adder();
  2185.       else if(opera[1][0]==12&&opera[0][0]==1||opera[1][0]==13&&opera[0][0]==0)
  2186.             state=subtraction();
  2187.       else if(opera[1][0]==14) state=multiplication();
  2188.       else state=divider();
  2189.     }
  2190.         if(state==0)  return;
  2191.     i=0;j=1;
  2192.     cal_run=1;
  2193.     switch(state)
  2194.     {
  2195.       case -1:                                                 // 结果溢出
  2196.                   removal_data(2,0);
  2197.               removal_data(0,0);  
  2198.                       display_cnasc(0x8a,3,"溢出!!");
  2199.                       save1=0;
  2200.                           break;
  2201.       case  0:                                                // 结果为零
  2202.                           if(save1==0)
  2203.                           {
  2204.                             removal_data(2,1);
  2205.                                 removal_data(0,1);
  2206.                             display_cnasc(0x98,1,"= ");
  2207.                             display_cnasc(0x9d,1," 0");
  2208.                           }
  2209.                           break;                                // 结果显示
  2210.       case  1:
  2211.                           if(save1==0)
  2212.                           {
  2213.                             cal_run=1;
  2214.                             display_cnasc(0x98,1,"= ");
  2215.                 dis_cdata(0x98);
  2216.                           }
  2217.                           break;
  2218.     }
  2219.         if(save1==0) save=gotkey();         // save1记录连续计算符
  2220.         cal_run=0;       
  2221.         if((save>11&&save<16&&state==1)||save1!=0)
  2222.         {
  2223.           removal_data(2,0);
  2224.           removal_data(1,0);
  2225.           display_cnasc(0x90,6,"               ");
  2226.           if(opera[0][11]==0) display_cnasc(0x95,1," 0");
  2227.           else dis_cdata(0x90);
  2228.           if(save1!=0)save=save1;
  2229.           save1=0;
  2230.           display_cnasc(0x88,1,tool_cal[save-12]);
  2231.           opera[1][0]=save;
  2232.           i=1;j=1;
  2233.         }
  2234.         else if(save>=0&&save<10&&state==1)
  2235.         {
  2236.           removal_data(2,0);
  2237.           removal_data(0,0);
  2238.           opera[0][1]=save;
  2239.           opera[0][11]++;
  2240.           dis_cdata(0x90);
  2241.           i=0;j=2;
  2242.         }
  2243.         else if(save==10)
  2244.         {  
  2245.           removal_data(2,0);
  2246.           removal_data(0,0);
  2247.       opera[i][10]=2;
  2248.           opera[i][11]=1;
  2249.       j=2;
  2250.         }
  2251.         else
  2252.         {
  2253.           removal_data(2,0);
  2254.           removal_data(0,0);
  2255.         }
  2256.   }                                                                                       
  2257. }
  2258.   

  2259. /*=====================================================================================
  2260. 函数名称:温度功能
  2261. 功能描述:连续检查温度
  2262. 全局变量:temprun  oth_run  als_temp  adju_si
  2263. 参数说明:见函数
  2264. 返回说明:无
  2265. 设 计 人:LIJH
  2266. 版    本:1.0
  2267. 说    明:
  2268. ======================================================================================*/


  2269. /*温度显示*/
  2270. uchar temp_func_dis(void)
  2271. {
  2272.   uchar save[2],k;
  2273.   clear_dis();
  2274.   dis_title_e();
  2275.   display_cnasc(0x82,3,"温度计");  
  2276.   display_cnasc(0x98,3,"设定");
  2277.   display_cnasc(0x9e,3,"退出");
  2278.   display_cnasc(0x8e,1," \x1f");
  2279.   num2_asc_dis(10,0x85);
  2280.   temprun=1;
  2281.   while(1)
  2282.   {
  2283.         if(ds18b20)
  2284.     {
  2285.       if(temp.t_data[0]>99)          // 温度值大于99时
  2286.           {
  2287.             save[0]=temp.t_data[0]/100;
  2288.         save[1]=(temp.t_data[0]/10)%10;
  2289.         num2_asc_dis(save[0],0x80);
  2290.             num2_asc_dis(save[1],0x81);
  2291.             save[0]=char_num[temp.t_data[0]%10];
  2292.             num2_asc_dis(save[0],0x82);
  2293.       }
  2294.           else                                         // 温度小于100
  2295.           {
  2296.         con_disp(0x00,0x00,0x80,0x90,1,16);
  2297.                 con_disp(0x00,0x00,0x88,0x90,1,16);
  2298.                 if(temp_pn) con_disp(0x3f,0xfc,0x80,0x9d,1,3);
  2299.             else  con_disp(0x00,0x00,0x80,0x9d,1,3);
  2300.             save[0]=temp.t_data[0]/10;
  2301.             save[1]=temp.t_data[0]%10;
  2302.             num2_asc_dis(save[0],0x81);
  2303.             num2_asc_dis(save[1],0x82);
  2304.           }
  2305.           save[0]='.';
  2306.           save[1]=char_num[temp.t_data[1]/10];
  2307.           display_cnasc(0x8b,1,save);
  2308.           save[0]=char_num[temp.t_data[1]%10];
  2309.           if(save[0]=='1') save[1]='2';                   // 补偿精度
  2310.           else if(save[0]=='7') save[1]='5';
  2311.           else if(save[0]=='8'||save[0]=='1') save[1]='7';
  2312.           else save[1]='0';
  2313.           display_cnasc(0x8c,1,save);
  2314.     }
  2315.         else
  2316.         {
  2317.       clear_dis();
  2318.       display_cnasc(0x92,4,"没有发现");
  2319.       display_cnasc(0x8c,4,"温感器!");
  2320.           delay_pw();
  2321.           temprun=0;
  2322.           return 0;
  2323.         }
  2324.         k=gotkey();
  2325.         if(k==2)         // 进入设置菜单
  2326.         {
  2327.           display_cnasc(0x8e,1," \x04");
  2328.           delay(35000);
  2329.           display_cnasc(0x8e,1," \x1e");
  2330.           display_cnasc(0x9a,4,"\x11 (ok) \x10");
  2331.           return 1;
  2332.         }
  2333.   }
  2334. }

  2335. /*警报温度设置*/
  2336. uchar temp_func_set(void)
  2337. {
  2338.   uchar save[2];
  2339.   temprun=0;
  2340.   oth_run=0;
  2341.   rds1302_data();
  2342.   while(1)
  2343.   {  
  2344.         if(oth_run)
  2345.         {
  2346.           con_disp (0x00,0x00,0x88,0x90,2,16);
  2347.           con_disp (0xff,0xff,0x8e,0x90,2,16);
  2348.         }
  2349.         else
  2350.         {
  2351.           con_disp (0xff,0xff,0x88,0x90,2,16);
  2352.           con_disp (0x00,0x00,0x8e,0x90,2,16);
  2353.         }       
  2354.         save[0]=gotkey();
  2355.         if(save[0]==1||save[0]==3) oth_run=!oth_run;
  2356.         if(save[0]==5) return 1;
  2357.         if(save[0]==11)
  2358.         {  
  2359.           if(oth_run) return 0;
  2360.           else  
  2361.           {
  2362.                 clear_dis();
  2363.                 dis_title_e();
  2364.                 save[1]=save[0]=0;
  2365.                 oth_run=0;
  2366.             dis_title_e();
  2367.         display_cnasc(0x82,3,"设  定");
  2368.             display_cnasc(0x90,5,"警报温度:");
  2369.                 display_cnasc(0x88,7,"高于【    】℃");
  2370.                 display_cnasc(0x98,8,"低于【    】℃ \x10");
  2371.                 while(1)
  2372.                 {
  2373.                   if(save[1]==2) con_disp(0x00,0x00,index_temp_set[save[1]].lnum,index_temp_set[save[1]].rnum,2,13);
  2374.                   else con_disp(0x00,0x00,index_temp_set[save[1]].lnum,index_temp_set[save[1]].rnum,2,13);
  2375.                   if(save[0]==2) con_disp(0x0f,0xff,index_temp_set[save[0]].lnum,index_temp_set[save[0]].rnum,1,13);
  2376.           else con_disp(0xff,0xff,index_temp_set[save[0]].lnum,index_temp_set[save[0]].rnum,2,13);
  2377.               save[1]=save[0];
  2378.           adju_si=0;
  2379.                   oth_run=0;
  2380.                   while(1)
  2381.                   {
  2382.                     for(i=0;i<2;i++)
  2383.                     {
  2384.                       j=0x8b+i*16;
  2385.                           if(als_temp[i]==255) display_cnasc(j,2," off"); // 255值为关闭值
  2386.                       else
  2387.                           {
  2388.                             save1=save[1];
  2389.                             if(als_temp[i]>127)         // 当数值大于127时温度值为负数
  2390.                             {
  2391.                                   display_cnasc(j,1,"- ");
  2392.                                   save[0]=char_num[(als_temp[i]-128)/10];
  2393.                                   save[1]=char_num[(als_temp[i]-128)%10];
  2394.                                   display_cnasc(j+1,1,save);
  2395.                             }
  2396.                             else
  2397.                             {
  2398.                                   save[0]=' ';
  2399.                                   save[1]=char_num[als_temp[i]/100];
  2400.                                   if(save[1]=='0') save[1]=' ';
  2401.                                   display_cnasc(j,1,save);
  2402.                                   save[0]=char_num[als_temp[i]/10%10];
  2403.                                   save[1]=char_num[als_temp[i]%10];
  2404.                                   display_cnasc(j+1,1,save);
  2405.                             }
  2406.                             save[1]=save1;
  2407.                           }
  2408.                     }               
  2409.                         save[0]=gotkey();
  2410.                         do                   // 输入符号等待数值输入或下一位
  2411.                         {
  2412.                           if(save[0]==13){ oth_run=1;display_cnasc(0x8b+save[1]*16,2,"-   ");}
  2413.                           else if(save[0]==12){ oth_run=0;display_cnasc(0x8b+save[1]*16,2,"    ");}
  2414.                           else break;
  2415.                           save[0]=gotkey();
  2416.                           if(save[0]>=0&&save[0]<10||save[0]==11) break;
  2417.                         }while(1);
  2418.                         if(save[0]==14){ als_temp[save[1]]=255;}  // 乘号直接将警报温度关闭
  2419.                         if(save[0]>=0&&save[0]<10&&save[1]<2)
  2420.                     {
  2421.                           if(adju_si)
  2422.                       {
  2423.                             adju_si=0;
  2424.                             if(oth_run) als_temp[save[1]]&=0x7f;  // 消去符号位
  2425.                                 if(als_temp[save[1]]>24&&!oth_run) als_temp[save[1]]=240;
  2426.                         else als_temp[save[1]]=als_temp[save[1]]*10+save[0];
  2427.                                 if(oth_run&&als_temp[save[1]]>50) als_temp[save[1]]=50;                
  2428.                                 if(!oth_run&&als_temp[save[1]]>120) als_temp[save[1]]=120;
  2429.                                 if(!oth_run&&als_temp[save[1]]<100) adju_si=1;        // 根据数值打开连写
  2430.                                 if(oth_run&&als_temp[save[1]]<10)  adju_si=1;
  2431.                           }
  2432.                           else
  2433.                           {
  2434.                             als_temp[save[1]]=save[0];
  2435.                                 adju_si=1;
  2436.                           }
  2437.                           if(oth_run) als_temp[save[1]]=als_temp[save[1]]|0x80;         // 写入符号
  2438.                           else als_temp[save[1]]=als_temp[save[1]]&0x7f;
  2439.                         }                       
  2440.                         if(save[0]==11)
  2441.                         {
  2442.                           if(als_temp[1]!=255&&als_temp[0]!=255)           // 上下限温度调整
  2443.                           {
  2444.                              if(save[1]==0&&als_temp[0]<als_temp[1]){als_temp[0]=als_temp[1];}
  2445.                             if(save[1]==1&&als_temp[1]>als_temp[0]){als_temp[1]=als_temp[0];}
  2446.                           }
  2447.                           save[0]=save[1]+1;adju_si=0;break;}
  2448.                 if(save[0]==10&&save[1]!=0) {save[0]=save[1]-1;adju_si=0;break;}
  2449.                   }
  2450.                   oth_run=0;
  2451.                   if(save[0]==3&&save[1]==2) break;
  2452.                 }
  2453.                 save_y_or_n();
  2454.                 if(oth_run)
  2455.                 {
  2456.                   wds1302_data(d02_temp,als_temp[0]);
  2457.                   wds1302_data(d02_temp+2,als_temp[1]);
  2458.                 }
  2459.                 return 1;
  2460.           }
  2461.         }
  2462.   }
  2463. }                  
  2464.                                   
  2465.                  
  2466. /*温度计主函数*/                 
  2467. void temp_func(void)
  2468. {                 
  2469.   uchar t;       
  2470.   while(1)
  2471.   {
  2472.     oth_run=1;
  2473.         t=temp_func_dis();
  2474.     if(t==1)
  2475.         {
  2476.           t=temp_func_set();
  2477.           if(t==0) return;
  2478.         }
  2479.     else return;
  2480.   }
  2481. }
  2482. /*=====================================================================================
  2483. 函数名称:闹铃功能
  2484. 功能描述:设定闹铃
  2485. 全局变量:alarm_time adju_si
  2486. 参数说明:见函数
  2487. 返回说明:无
  2488. 设 计 人:LIJH
  2489. 版    本:1.0
  2490. 说    明:
  2491. ======================================================================================*/


  2492. void alarm_func(void)
  2493. {
  2494.   uchar save[2],a,d;
  2495.   clear_dis();
  2496.   dis_title_e();
  2497.   display_cnasc(0x82,3,"闹  钟");  
  2498.   display_cnasc(0x91,6,"状态:\x11    \x10");
  2499.   display_cnasc(0x89,3,"闹铃:");
  2500.   display_cnasc(0x9f,1,"→");
  2501.   display_cnasc(0x90,1,"『");
  2502.   display_cnasc(0x8f,1,"』");
  2503.   adju_si=0;
  2504.   a=0;d=0;
  2505.   save[0]=char_num[alarm_time[0]>>4];
  2506.   save[1]=char_num[alarm_time[0]&0x0f];
  2507.   display_cnasc(0x8c,1,save);
  2508.   display_cnasc(0x8d,1,": ");
  2509.   save[0]=char_num[alarm_time[1]>>4];
  2510.   save[1]=char_num[alarm_time[1]&0x0f];       
  2511.   display_cnasc(0x8e,1,save);
  2512.   do
  2513.   {
  2514.     if(a==0)
  2515.         {   
  2516.           display_cnasc(0x95,1,alm_sign[alm]);
  2517.       display_cnasc(0x94,1,"\x11 ");
  2518.       display_cnasc(0x96,1," \x10");
  2519.           con_disp(0x00,0x00,index_alm[d-1].lnum,index_alm[d-1].rnum,1,14);
  2520.         }
  2521.     if(a>0&&a<4)
  2522.         {
  2523.           if(d==0)
  2524.           {
  2525.                 display_cnasc(0x94,1,"  ");
  2526.         display_cnasc(0x96,1,"  ");
  2527.           }
  2528.           else
  2529.            con_disp(0x00,0x00,index_alm[d-1].lnum,index_alm[d-1].rnum,1,14);
  2530.          con_disp(0xff,0xff,index_alm[a-1].lnum,index_alm[a-1].rnum,1,14);       
  2531.     }                   
  2532.         d=a;
  2533.         while(1)
  2534.         {
  2535.           a=gotkey();
  2536.           if((a==1||a==3)&&d==0)
  2537.           {
  2538.             alm=!alm;
  2539.                 if(a==1) display_cnasc(0x94,1,"\x09 ");
  2540.                 else display_cnasc(0x96,1," \x09");
  2541.                 delay(35000);
  2542.                 if(a==1) display_cnasc(0x94,1,"\x11 ");
  2543.         else display_cnasc(0x96,1," \x10");
  2544.                 display_cnasc(0x95,1,alm_sign[alm]);
  2545.           }
  2546.           if(d>0&&d<3&&a>=0&&a<10)
  2547.           {
  2548.             if(adju_si)
  2549.                 {
  2550.                   alarm_time[d-1]<<=4;alarm_time[d-1]+=a;
  2551.                   if(d==1&&alarm_time[0]>0x23) alarm_time[0]=a;
  2552.                   else if(d==2&&alarm_time[1]>0x59) alarm_time[1]=a;
  2553.                   else adju_si=0;
  2554.                 }
  2555.             else  
  2556.                 {
  2557.                   alarm_time[d-1]=a;adju_si=1;
  2558.                 }
  2559.                 save[0]=char_num[alarm_time[d-1]>>4];
  2560.             save[1]=char_num[alarm_time[d-1]&0x0f];
  2561.             display_cnasc(0x8c+(d-1)*2,1,save);
  2562.           }
  2563.           if(a==11){a=d+1;break;}
  2564.           if(a==10&&d!=0){a=d-1;break;}
  2565.         }
  2566.         adju_si=0;
  2567.         if(a==4)
  2568.         {
  2569.           save_y_or_n();
  2570.           wds1302_data(d02_signs,signs);
  2571.           wds1302_data(d02_alm,alarm_time[0]);
  2572.           wds1302_data(d02_alm+2,alarm_time[1]);
  2573.           return;
  2574.         }
  2575.   }while(1);
  2576. }



  2577. /*=====================================================================================
  2578. 函数名称:篮球器功能
  2579. 功能描述:分数  时间  24秒  
  2580. 全局变量:resu  opera
  2581. 参数说明:见函数
  2582. 返回说明:无
  2583. 设 计 人:LIJH
  2584. 版    本:1.0
  2585. 说    明:
  2586. ======================================================================================*/

  2587. /*比赛设置*/
  2588. void nba_set(void)
  2589. {
  2590.   uchar nba,save1,key,save[2];
  2591.   clear_dis();
  2592.   dis_title_e();
  2593.   if(resu[7]==1) display_cnasc(0x82,3,"加  时");
  2594.   else  
  2595.   {
  2596.     display_cnasc(0x82,3,"设  定");
  2597.     opera[0][0]=0;
  2598.     opera[1][0]=1;
  2599.   }
  2600.   resu[6]=0;        // 赋初值
  2601.   resu[0]=1;
  2602.   resu[1]=4;
  2603.   resu[2]=12;
  2604.   resu[3]=0;
  2605.   resu[4]=24;
  2606.   display_cnasc(0x90,7,"队1:红  队2:白");
  2607.   display_cnasc(0x88,7,"24秒:Y  节数:4");
  2608.   display_cnasc(0x98,8,"每节时间: 12分钟");
  2609.   save1=0;nba=0;
  2610.   while(1)
  2611.   {
  2612.     if(save1==4) display_cnasc(0x9c,1,":");
  2613.         else display_cnasc(index_nba[save1],1,"  ");
  2614.         if(nba==4)  display_cnasc(0x9c,1,":\x10");
  2615.         else display_cnasc(index_nba[nba],1,"\x11 ");
  2616.         save1=nba;
  2617.         key=gotkey();       
  2618.         if(key==1||key==3)
  2619.         {          
  2620.           switch(nba)     // 导航更改数据
  2621.           {
  2622.             case 0:                            
  2623.                 case 1:
  2624.                         if(key==1){if(opera[nba][0]>0) opera[nba][0]--;
  2625.                                            else opera[nba][0]=7;}
  2626.                                 if(key==3){if(opera[nba][0]<7) opera[nba][0]++;
  2627.                                            else opera[nba][0]=0;}
  2628.                                 display_cnasc(index_nba[nba]-1,1,nba_oppo[opera[nba][0]]);
  2629.                                 break;
  2630.                 case 2:
  2631.                                 if(resu[0]>0) resu[0]=0;
  2632.                                 else resu[0]=1;
  2633.                                 if(resu[0]>0)         display_cnasc(0x8a,1,":Y");
  2634.                                 else display_cnasc(0x8a,1,":N");
  2635.                                 break;
  2636.                 case 3:
  2637.                                 if(key==1){if(resu[1]>1) resu[1]--;
  2638.                                             else  resu[1]=4;}
  2639.                             if(key==3){if(resu[1]<4) resu[1]++;
  2640.                                        else resu[1]=1;}
  2641.                                 save[0]=':';
  2642.                                 save[1]=char_num[resu[1]];
  2643.                                display_cnasc(0x8e,1,save);
  2644.                                 break;
  2645.                 case 4:
  2646.                                 if(key==1){if(resu[2]>0) resu[2]--;
  2647.                                             else  resu[2]=60;}
  2648.                             if(key==3){if(resu[2]<60) resu[2]++;
  2649.                                        else resu[2]=0;}
  2650.                                 save[0]=char_num[resu[2]/10];
  2651.                                 save[1]=char_num[resu[2]%10];
  2652.                                display_cnasc(0x9d,1,save);
  2653.                                 resu[5]=resu[2];
  2654.                                 break;
  2655.       }
  2656.         }
  2657.         if(key==11)
  2658.         {
  2659.           nba++; if(nba==5) return;                          
  2660.         }
  2661.         if(key==10&&nba!=0)  nba--;
  2662.   }
  2663. }



  2664. /*界面其他元素*/
  2665. void nba_oth_dis(void)
  2666. {
  2667.   uchar save[2];
  2668.   if(resu[7]==1) display_cnasc(0x80,5,"加时时间:");
  2669.   else display_cnasc(0x80,5,"比赛时间:");
  2670.   display_cnasc(0x98,1,nba_oppo[opera[0][0]]);
  2671.   display_cnasc(0x9e,1,nba_oppo[opera[1][0]]);
  2672.   display_cnasc(0x99,1,"队");
  2673.   display_cnasc(0x9f,1,"队");
  2674.   save[0]='0';
  2675.   save[1]=char_num[resu[6]+1];
  2676.   display_cnasc(0x9b,1,save);
  2677.   display_cnasc(0x9c,1,"st");
  2678.   con_disp(0xff,0xff,0x83,0x90,2,1); // 画正方框
  2679.   con_disp(0xff,0xff,0x8b,0x8f,2,1);
  2680.   con_disp(0x80,0x00,0x83,0x91,1,15);
  2681.   con_disp(0x00,0x01,0x84,0x91,1,15);
  2682.   con_disp(0x80,0x00,0x8b,0x80,1,15);
  2683.   con_disp(0x00,0x01,0x8c,0x80,1,15);
  2684.   nba_dis_time();
  2685.   if(resu[0]==0) display_cnasc(0x93,2,"\x07--\x07");
  2686.   display_cnasc(0x8b,2," S! ");
  2687. }



  2688. /*篮球器主函数*/
  2689. void nba_timer(void)
  2690. {
  2691.   uchar save,key,nba=0;
  2692.   als=di0=1;alm=keb=di1=oth_run=0;
  2693.   time_init();  
  2694.   display_cnasc(0x82,3,"篮球器");
  2695.   dis_title_e();
  2696.   removal_data(0,1);
  2697.   while(1)          // 使用说明
  2698.   {
  2699.         display_cnasc(0x90,8,timer_tips[nba]);
  2700.         display_cnasc(0x88,8,timer_tips[nba+1]);
  2701.         display_cnasc(0x98,8,timer_tips[nba+2]);
  2702.         key=gotkey();
  2703.         if(key==11)
  2704.         {
  2705.           nba+=3;
  2706.           if(nba>9) break;
  2707.         }
  2708.         if(key==10)
  2709.         {
  2710.           if(nba>0) nba-=3 ;
  2711.         }
  2712.   }
  2713. nn:
  2714.   nba_set();
  2715.   clear_dis();
  2716.   nba_oth_dis();
  2717.   nba_tim=1;
  2718.   while(1)
  2719.   {       
  2720.         for(save=0x80,nba=0;nba<2;nba++)
  2721.         {
  2722.           if(opera[nba][1]>99)        // 分数显示
  2723.           {
  2724.             num2_asc_dis(opera[nba][1]/100,save);
  2725.                 num2_asc_dis(opera[nba][1]/10%10,save+1);
  2726.                 num2_asc_dis(opera[nba][1]%10,save+2);
  2727.           }
  2728.           else
  2729.           {
  2730.                 num2_asc_dis(opera[nba][1]/10,save);
  2731.                 num2_asc_dis(opera[nba][1]%10,save+1);
  2732.       }
  2733.           if(opera[1][1]>99) save=0x85;
  2734.           else save=0x86;
  2735.         }
  2736.        
  2737.         do
  2738.         {
  2739.         key=gotkey();
  2740.   ss:
  2741.         switch(key)
  2742.         {
  2743.           case 12:
  2744.           case 13:
  2745.                    if(key==12)
  2746.                              {if(opera[0][1]<250) opera[0][1]++;}
  2747.                    else
  2748.                              {if(opera[0][1]>0) opera[0][1]--;}
  2749.                            save=110;
  2750.                             break;
  2751.           case 14:
  2752.           case 15:
  2753.                    if(key==14)
  2754.                              {if(opera[1][1]<250) opera[1][1]++;}
  2755.                    else
  2756.                              {if(opera[1][1]>0) opera[1][1]--;}
  2757.                            save=110;
  2758.                            break;
  2759.           case  7:
  2760.                    TR1=TR0=EA=0;
  2761.                    if((++resu[3])>59)
  2762.                    {
  2763.                      resu[3]=0;
  2764.                          if((++resu[2])>59)
  2765.                                  {
  2766.                                    resu[3]=0;
  2767.                                    if(++resu[2]>60) resu[2]=0;
  2768.                                  }
  2769.                            }
  2770.                        if(resu[4]<24) resu[4]++;
  2771.                            nba_dis_time();
  2772.                            break;
  2773.           case  8:
  2774.                    if(resu[0]==1)
  2775.                            {
  2776.                              TL1=(time_count&0x00FF);
  2777.                  TH1=(time_count>>8);
  2778.                              resu[4]=24; nba_dis_time();
  2779.                                  TR0=TR1=EA=1;
  2780.                            }
  2781.                            break;
  2782.           case  9:
  2783.                    if(resu[2]==0&&resu[3]==0)
  2784.                    {
  2785.                      resu[2]=resu[5];resu[4]=24;
  2786.                                  if(resu[6]==resu[1])
  2787.                                  {                                                          // 判断比分进行加时赛
  2788.                                    if(opera[0][1]==opera[1][1]){nba_tim=0;resu[7]=1; goto nn;}
  2789.                                    while(gotkey()!=10);                  // 否则只能退出
  2790.                                    signs=r1302(d02_signs+1);
  2791.                                    nba_tim=0;
  2792.                                    return;
  2793.                                  }
  2794.                                  else nba_oth_dis();
  2795.                                  break;
  2796.                    }
  2797.                            if(EA) {TR0=TR1=EA=0;break;}
  2798.                    if(!EA&resu[4]!=0){ EA=TR0=1;if(resu[0]==1) TR1=1;}
  2799.                            break;                                                                                                                 
  2800.           case 10:
  2801.           case 11:
  2802.                    if(!EA)                        // 暂停状态下 ok键两次返回时钟界面
  2803.                            {                //  ./s 键两次退出
  2804.                              delay(6000);
  2805.                                  save=gotkey();
  2806.                                  if(save==key)
  2807.                                  {
  2808.                                    if(key==11)
  2809.                                    {
  2810.                                      clear_dis();oth_run=1;
  2811.                                      rds1302_time();
  2812.                                          init_d2=1;
  2813.                                      dis_mode2();
  2814.                                          init_d2=0;
  2815.                                      while(gotkey()!=11);
  2816.                                      oth_run=0;
  2817.                                          save=110;
  2818.                                      clear_dis();
  2819.                                      nba_oth_dis();
  2820.                                    }
  2821.                                    else{ signs=r1302(d02_signs+1); nba_tim=0;return;}
  2822.                              }
  2823.                                  else{ key=save;goto ss;}
  2824.                            }
  2825.                            break;          
  2826.         }
  2827.         if(save==110)  break;
  2828.     }while(1);       
  2829.   }  
  2830. }

  2831.          
  2832. /*=====================================================================================
  2833. 函数名称:设置功能
  2834. 功能描述:系统状态设置  
  2835. 全局变量:signs
  2836. 参数说明:见函数
  2837. 返回说明:无
  2838. 设 计 人:LIJH
  2839. 版    本:1.0
  2840. 说    明:
  2841. ======================================================================================*/


  2842. /*设置调整内容 参数格式:选中设置项,设置项子值*/
  2843. uchar set_dis(uchar menu,uchar sub)
  2844. {
  2845.   uchar save;
  2846.   con_disp(0xff,0xff,0x8e,0x80,2,16);
  2847.   con_disp(0x00,0x00,0x8a,0x80,4,16);
  2848.   display_cnasc(0x96,1,"\x1e ");
  2849.   display_cnasc(0x9e,1,"\x1f ");
  2850.   display_cnasc(0x92,1,"  ");
  2851.   display_cnasc(0x9a,1,"  ");
  2852.   display_cnasc(0x8d,1,"\x11 ");
  2853.   display_cnasc(0x8a,1,"  ");
  2854.   while(1)
  2855.   {
  2856.    
  2857.     if(menu<2)
  2858.       display_cnasc(0x8e,2,set_bl_dm[menu][sub]);
  2859.     else
  2860.           display_cnasc(0x8e,2,set_mka[menu-2][sub]);
  2861.         save=gotkey();
  2862.         if(save==5)
  2863.         {
  2864.           key_dis(0x96);
  2865.           if(sub==0&&menu<2) sub=2;
  2866.           else if(sub==0&&menu>1) sub=1;
  2867.           else sub--;
  2868.     }
  2869.         if(save==2)
  2870.         {
  2871.           key_dis(0x9e);
  2872.           if(sub==2&&menu<2) sub=0;
  2873.           else if(sub==1&&menu>1) sub=0;
  2874.           else sub++;
  2875.         }
  2876.         if(save==1||save==11)
  2877.         {
  2878.           display_cnasc(0x8d,1,"\x04 ");
  2879.         delay(30000);
  2880.           con_disp(0x00,0x00,0x8e,0x80,2,16);
  2881.       con_disp(0xff,0xff,0x8a,0x80,4,16);
  2882.       display_cnasc(0x92,1,"\x1e ");
  2883.       display_cnasc(0x9a,1,"\x1f ");
  2884.       display_cnasc(0x96,1,"  ");
  2885.       display_cnasc(0x9e,1,"  ");
  2886.           display_cnasc(0x8a,1,"【");
  2887.           display_cnasc(0x8d,3,"】\x10 \x04 ");
  2888.           return(sub);          // 返回设置值
  2889.         }
  2890.   }
  2891. }


  2892. /*设置调整*/
  2893. void set_func(void)
  2894. {
  2895.   uchar d,key,save;
  2896.   display_cnasc(0x81,2,"设置");
  2897.   dis_title();
  2898.   display_cnasc(0x88,8,selected[1]);
  2899.   con_disp(0xff,0xff,0x8a,0x80,4,16);
  2900.   save=d=0;
  2901.   do
  2902.   {          
  2903.         display_cnasc(0x93,2,set_menu[index_s[save].lnum]);
  2904.         display_cnasc(0x8b,2,set_menu[save]);
  2905.         display_cnasc(0x9b,2,set_menu[index_s[save].rnum]);
  2906.         d=save;
  2907.         while(1)
  2908.         {
  2909.           key=gotkey();
  2910.           if(key==11||key==3){ enter_dis();break;}
  2911.           if(key==5){save=index_s[d].lnum;key_dis(0x92); break;}
  2912.           if(key==2){save=index_s[d].rnum;key_dis(0x9a); break;}
  2913.           if(key==1){ wds1302_data(d02_signs,signs); return;}
  2914.     }
  2915.         if(key==11||key==3)
  2916.         {
  2917.       save=0;
  2918.       for(key=0;key<2;key++)  //  进行两次比较 首次为现时值 其次为设定置更改
  2919.       {
  2920.         switch(d)
  2921.         {
  2922.           case 0:
  2923.                           if(key==1)
  2924.                           {
  2925.                                     bl0=bl1=0;
  2926.                                         if(save==1) bl0=1;
  2927.                                         if(save==2)        bl1=1;
  2928.                           }
  2929.                       else
  2930.                                   {if(bl0) save=1; if(bl1) save=2;}
  2931.                                   if(bl1) lcd_bl=close;
  2932.                                   else lcd_bl=open;
  2933.                               break;
  2934.               case 1:
  2935.                           if(key==1)
  2936.                           {
  2937.                                     di0=di1=0;
  2938.                                         if(save==1) di0=1;
  2939.                                         if(save==2)        di1=1;
  2940.                           }
  2941.                               else {if(di0) save=1; if(di1) save=2;}
  2942.                               break;
  2943.               case 2:
  2944.                           if(key==1) meu=(bit)save;
  2945.                       else {if(meu) save++;}             
  2946.                               break;
  2947.           case 3:
  2948.                           if(key==1) keb=(bit)save;
  2949.                       else{if(keb) save++;}             
  2950.                               break;
  2951.           case 4:
  2952.                           if(key==1) als=(bit)save;
  2953.                       else{if(als) save++;}             
  2954.                               break;
  2955.           case 5: wds1302_data(d02_signs,signs);
  2956.                       return;
  2957.         }
  2958.         if(key==0) save=set_dis(d,save);
  2959.       }
  2960.           save=d;
  2961.         }
  2962.   }while(1);
  2963. }


  2964. /*=====================================================================================
  2965. 函数名称:保密功能
  2966. 功能描述:系统重设  密码锁  密码更改  
  2967. 全局变量:resu  
  2968. 参数说明:见函数
  2969. 返回说明:无
  2970. 设 计 人:LIJH
  2971. 版    本:1.0
  2972. 说    明:
  2973. ======================================================================================*/


  2974. /*密码整理*/
  2975. void passwork_finish()
  2976. {
  2977.   uchar i;
  2978.   for(i=0;i<6;i+=2)
  2979.   {
  2980.     resu[i]<<=4;
  2981.         resu[i]+=resu[i+1];
  2982.   }
  2983. }



  2984. /*读取密码*/
  2985. uchar input_passwork()
  2986. {
  2987.   uchar i,save[2];
  2988.   save[0]=' ';
  2989.   display_cnasc(0x9d,3,"(./S)\x10");
  2990.   for(i=0;i<6;i++)
  2991.   {
  2992.     while(1)
  2993.         {
  2994.           resu[i]=gotkey();
  2995.           if(resu[i]>=0&&resu[i]<10) break;
  2996.           if(resu[i]==10) return 10;
  2997.         }
  2998.     save[1]=char_num[resu[i]];
  2999.         display_cnasc(0x89+i,1,save);
  3000.         delay(20000);
  3001.         display_cnasc(0x89+i,1," *");
  3002.   }
  3003.   return 11;
  3004. }



  3005. /*密码确认*/
  3006. void input_second_passwork()
  3007. {
  3008.   uchar i,save[2];
  3009.   save[0]=' ';
  3010.   display_cnasc(0x89,6,"            ");
  3011.   for(i=0;i<6;i++)
  3012.   {   
  3013.         if(resu[i]!=gotkey())        break;
  3014.         save[1]=char_num[resu[i]];
  3015.         display_cnasc(0x89+i,1,save);
  3016.         delay(20000);
  3017.         display_cnasc(0x89+i,1," *");
  3018.   }
  3019.   if(i!=6)
  3020.   {
  3021.     display_cnasc(0x89,6,"密码不相同!");
  3022.         delay(50000);
  3023.         display_cnasc(0x9a,6,"任意键 \x10    ");
  3024.         while(gotkey()==0xf0);
  3025.         return;
  3026.   }
  3027.   else
  3028.   {
  3029.         passwork_finish();
  3030.         for(i=0;i<6;i+=2)
  3031.           wds1302_data(d02_passw+i,resu[i]);
  3032.         for(i=0;i<6;i+=2)
  3033.           if(resu[i]!=r1302(d02_passw+i+1)) break;
  3034.         if(i==6)
  3035.          {display_cnasc(0x98,8,"\x10 更改成功!(OK) ");
  3036.           while(gotkey()!=11);return;}
  3037.         else
  3038.           display_cnasc(0x9a,6,"更改失败!  ");
  3039.   }
  3040.   delay_pw();
  3041. }                               



  3042. /*密码比较*/
  3043. uchar passwork_comp()
  3044. {
  3045.   uchar i;
  3046.   passwork_finish();
  3047.   for(i=0;i<6;i+=2)
  3048.         if(resu[i]!=r1302(d02_passw+i+1)) break;
  3049.   if(i==6)         return 1;
  3050.   else
  3051.   {
  3052.     display_cnasc(0x89,6,"  密码错误!");
  3053.         delay_pw();
  3054.         display_cnasc(0x89,6,"            ");
  3055.         return 110;
  3056.   }
  3057. }



  3058. /*保密导航*/
  3059. void passw_func(void)
  3060. {
  3061.   uchar save,d,key;
  3062.   d=0;save=0;
  3063.   do
  3064.   {
  3065.     clear_dis();
  3066.         display_cnasc(0x81,2,"保密");
  3067.     dis_title();
  3068.     display_cnasc(0x88,8,selected[0]);
  3069.     con_disp(0xff,0xff,0x8a,0x80,5,16);
  3070.     do
  3071.     {          
  3072.           display_cnasc(0x93,3,secrets_menu[index_p[save].lnum]);
  3073.           display_cnasc(0x8b,3,secrets_menu[save]);
  3074.           display_cnasc(0x9b,3,secrets_menu[index_p[save].rnum]);  
  3075.           d=save;
  3076.           while(1)
  3077.           {
  3078.             key=gotkey();
  3079.             if(key==11||key==3){enter_dis();break;}
  3080.             if(key==2){save=index_p[d].rnum; key_dis(0x9a);break;}
  3081.             if(key==5){save=index_p[d].lnum; key_dis(0x92);break;}
  3082.                 if(key==1){return;}
  3083.       }
  3084.       if(key==11||key==3)
  3085.           {       
  3086.                 if(d==3) return;               
  3087.                 clear_dis();
  3088.                 dis_title_e();
  3089.         switch(d)
  3090.         {
  3091.           case 0:
  3092.                           display_cnasc(0x82,3,"密码锁");
  3093.                                   display_cnasc(0x90,5,"输入密码:");
  3094.                                   while(1)
  3095.                                   {
  3096.                                     key=input_passwork();
  3097.                                     if(key==10) break;
  3098.                                     key=passwork_comp();
  3099.                                     if(key==1)
  3100.                             {
  3101.                                       display_cnasc(0x90,8,"处开启状态,按键");
  3102.                               display_cnasc(0x88,8,"□1-常开□2-关闭");
  3103.                                       display_cnasc(0x98,8,"■自动延时30秒  ");
  3104.                                           pw_oc=open;
  3105.                                       save1=i=0;   
  3106.                                       lock_op=1;   // 开启标记等待延时
  3107.                                       while(1)
  3108.                                       {
  3109.                                             key=gotkey(); // 返回255为延时时间到达
  3110.                                             if(key==255){ lock_op=0;pw_oc=close;break;}
  3111.                                             if(key==1)
  3112.                                             {
  3113.                                               display_cnasc(0x88,1,"■");
  3114.                                               display_cnasc(0x98,1,"□");
  3115.                                               lock_op=0;
  3116.                                             }
  3117.                                             if(key==2)
  3118.                                             {
  3119.                                               display_cnasc(0x88,1,"□");
  3120.                                                   display_cnasc(0x98,1,"□");
  3121.                                                   display_cnasc(0x8c,1,"■");
  3122.                                                   delay(60000);
  3123.                                                   clear_dis();
  3124.                                               dis_title_e();
  3125.                                   display_cnasc(0x82,3,"密码锁");
  3126.                                               display_cnasc(0x90,5,"密码锁将在");
  3127.                                                   display_cnasc(0x8a,6," 5秒后关闭:");
  3128.                                               for(save=0;save<5;save++)
  3129.                                               {
  3130.                                                 display_cnasc(0x99+save,1,"→");
  3131.                                                     delay_pw();
  3132.                                               }
  3133.                                                   display_cnasc(0x9e,1,"√");
  3134.                                                   pw_oc=open;
  3135.                                                   delay(30000);
  3136.                                                   pw_oc=close;
  3137.                                               lock_op=0;
  3138.                                               break;
  3139.                                             }
  3140.                                       }
  3141.                                           break;
  3142.                                     }
  3143.                                   }
  3144.                                break;
  3145.               case 1:
  3146.                           display_cnasc(0x82,3,"更  改");
  3147.                                   display_cnasc(0x90,6,"输入旧密码:");
  3148.                                   while(1)
  3149.                                   {
  3150.                                     key=input_passwork();
  3151.                                     if(key==10) break;
  3152.                                     key=passwork_comp();
  3153.                                     if(key==1)
  3154.                                     {
  3155.                                       display_cnasc(0x92,1,"新");
  3156.                                           display_cnasc(0x89,6,"            ");
  3157.                                       key=input_passwork();
  3158.                                           if(key==10) break;
  3159.                                           display_cnasc(0x90,6,"确认密码:  ");
  3160.                                           input_second_passwork();
  3161.                                           break;               
  3162.                                     }
  3163.                                   }
  3164.                                   break;
  3165.               case 2:
  3166.                           display_cnasc(0x82,3,"初始化");
  3167.                             display_cnasc(0x91,6,"是否初始化? ");
  3168.                   display_cnasc(0x89,6,"Y(OK)\\N(./S)");
  3169.                                   while(1)
  3170.                                   {
  3171.                                     key=gotkey();
  3172.                                         if(key==11)
  3173.                                         {
  3174.                                           clear_dis();
  3175.                                           dis_title_e();
  3176.                                           display_cnasc(0x82,3,"初始化");
  3177.                                           display_cnasc(0x90,5,"输入密码:");
  3178.                                           do
  3179.                                           {
  3180.                                             key=input_passwork();
  3181.                                             if(key==10) break;
  3182.                                             key=passwork_comp();
  3183.                                             if(key==1)
  3184.                                             {
  3185.                                                   display_cnasc(0x90,5,"密码正确!");
  3186.                                                   display_cnasc(0x89,7,"\x10 初始化中... ");
  3187.                                                   init_ds1302();
  3188.                                                   rds1302_data();
  3189.                                                   delay_pw();
  3190.                                                   display_cnasc(0x9a,6,"\x10 完成!(OK)");
  3191.                                                   while(gotkey()!=11);
  3192.                                                   key=10;
  3193.                                                   break;
  3194.                                             }
  3195.                                           }while(1);
  3196.                                         }
  3197.                                         if(key==10) break;
  3198.                                   }
  3199.                                   break;
  3200.                 }
  3201.                 key=99;save=d;
  3202.           }
  3203.           if(key==99) break;
  3204.         }while(1);
  3205.   }while(1);
  3206. }


  3207. /*=====================================================================================
  3208. 函数名称:版本信息
  3209. 功能描述:  
  3210. 全局变量:
  3211. 参数说明:见函数
  3212. 返回说明:无
  3213. 设 计 人:LIJH
  3214. 版    本:1.0
  3215. 说    明:
  3216. ======================================================================================*/

  3217. void version_func(void)
  3218. {
  3219.   uchar save,v=0;
  3220.   display_cnasc(0x82,3,"版  本");
  3221.   dis_title_e();
  3222.   do
  3223.   {
  3224.         display_cnasc(0x90,7,version[v]);
  3225.         display_cnasc(0x88,7,version[v+1]);
  3226.         display_cnasc(0x98,7,version[v+2]);
  3227.         if(v!=0) display_cnasc(0x97,1," \x1e");
  3228.         else if(v==0)
  3229.           display_cnasc(0x97,1,"院");
  3230.         else display_cnasc(0x97,1,"  ");
  3231.         if(v!=7) display_cnasc(0x9f,1," \x1f");
  3232.         else display_cnasc(0x9f,1,"  ");
  3233.         save=gotkey();
  3234.         if(save==2&&v<7)
  3235.         {
  3236.           display_cnasc(0x9f,1," \x04");
  3237.       delay(30000);
  3238.           v++;
  3239.         }
  3240.         if(save==5&&v>0)
  3241.         {
  3242.           display_cnasc(0x97,1," \x04");
  3243.       delay(30000);
  3244.           v--;
  3245.         }
  3246.         if(save==1) return;
  3247.         if((save==11||save==3)&&v==7)
  3248.         {
  3249.           display_cnasc(0x9e,2,") \x09 ");
  3250.       delay(30000);
  3251.           return;          
  3252.         }
  3253.   }while(1);
  3254. }



  3255. /*=====================================================================================
  3256. 函数名称:系统主函数
  3257. 功能描述:  
  3258. 全局变量:
  3259. 参数说明:见函数
  3260. 返回说明:无
  3261. 设 计 人:LIJH
  3262. 版    本:1.0
  3263. 说    明:
  3264. ======================================================================================*/
  3265. main()
  3266. {
  3267.   uchar key;                                                          
  3268.   init_lcd();                                                  // 初始化lcd
  3269.   lcd_bl=als_tl=als_th=buzzer=pw_oc=close;
  3270.   key=r1302(d02_initsi+1);            // 读出1302初始化标记位
  3271.   if(bl1) lcd_bl=close;
  3272.   else lcd_bl=open;
  3273.   display_cnasc(0x92,4,"欢迎使用");   // 显示开机画面“欢迎使用”
  3274.   display_cnasc(0x9d,3,"—LiJH");
  3275.   if(key!=0xb4)  init_ds1302();       // DS1302初始化
  3276.   rds1302_data();                                          // 开机读回设置数据
  3277.   rds1302_date();
  3278.   rds1302_time();                     // 读日期时间   
  3279.   if(!ds18b20) init_ds18b20();                  // 初始化18b20
  3280.   if(ds18b20)
  3281.   {                                              
  3282.         ds18b20_func();                                           // 读出温度
  3283.   }
  3284.   time_init();                         // 定时器初始化
  3285.   delay_pw();resu[11]=0;
  3286.   while(1)
  3287.   {  
  3288.         EA=1;
  3289.         TR0=1;
  3290.         dis_sig=1;       
  3291.         clear_dis();
  3292.         if(di0){init_d2=1;dis_mode2();init_d2=0;}
  3293.     else if(di1) dis_mode3();
  3294.     else dis_mode1();       
  3295.         do
  3296.         {
  3297.           key=gotkey();
  3298.           if(key==255)                        // 键盘密码输入
  3299.           {
  3300.                 TR0=0;
  3301.                 dis_sig=0;
  3302.                 clear_dis();
  3303.                 dis_title_e();
  3304.                 display_cnasc(0x82,3,"键盘锁");
  3305.                 display_cnasc(0x90,5,"输入密码:");
  3306.                 while(1)
  3307.                 {
  3308.                   keb=0;
  3309.                   key=input_passwork();
  3310.                   if(key==10) {keb=1;resu[11]=2;break;}
  3311.                   key=passwork_comp();
  3312.                   if(key==1) {keb=1;resu[11]=0;break;}           
  3313.                 }
  3314.                 rds1302_date();
  3315.         rds1302_time();
  3316.             save_time=now;
  3317.                 break;
  3318.           }
  3319.           if(key==11)
  3320.           {
  3321.             dis_menu_key(1);
  3322.                 delay(35000);
  3323.                 do
  3324.                 {
  3325.                   dis_sig=0;
  3326.                   TR0=0;
  3327.                   clear_dis();
  3328.                   key=dis_menu();
  3329.                   clear_dis();
  3330.                   if(key==0) adjust_func();
  3331.                   else if(key==1) // 调用相应程序功能S
  3332.                   {
  3333.                     while(1)
  3334.                         {
  3335.                           clear_dis();
  3336.                       key=tool_func();
  3337.                           if(key==4) break;
  3338.                           clear_dis();
  3339.                           switch(key)
  3340.                           {
  3341.                             case 0:        calculator();
  3342.                                         break;
  3343.                                 case 1: temp_func();
  3344.                                             break;
  3345.                                 case 2: alarm_func();
  3346.                                         break;
  3347.                                 case 3: nba_timer();
  3348.                                         break;
  3349.                           }
  3350.                         }
  3351.                   }
  3352.                   else if(key==2)        set_func();
  3353.                   else if(key==3)        passw_func();
  3354.                   else if(key==4) version_func();
  3355.                   else
  3356.                   {
  3357.                     rds1302_date();
  3358.             rds1302_time();
  3359.                         save_time=now;
  3360.                         resu[11]=0;
  3361.                         dis_sig=1;
  3362.                         break;
  3363.                   }
  3364.                 }while(1);
  3365.           }
  3366.           if(dis_sig) break;
  3367.         }while(1);
  3368.   }
  3369. }
复制代码


评分

参与人数 5黑币 +80 收起 理由
boysugar + 10 够大腕
HGQK + 10 很给力!
yuanye397104860 + 5 很给力!
龙与少年游 + 5 很给力!
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏25 分享淘帖 顶4 踩
回复

使用道具 举报

沙发
ID:111970 发表于 2016-5-13 18:12 | 只看该作者
怎么没有图啊。。。让我们看看效果图怎么样?
回复

使用道具 举报

板凳
ID:120717 发表于 2016-5-14 12:18 来自手机 | 只看该作者
看看怎么样,51黑有你更精彩
回复

使用道具 举报

地板
ID:120717 发表于 2016-5-17 20:29 来自手机 | 只看该作者
非常漂亮
回复

使用道具 举报

5#
ID:67678 发表于 2016-5-17 23:44 | 只看该作者
非常不错的帖子
谢谢楼主
回复

使用道具 举报

6#
ID:121199 发表于 2016-5-24 15:05 | 只看该作者
楼主厉害
回复

使用道具 举报

7#
ID:96054 发表于 2016-6-7 20:31 | 只看该作者
学习一下
回复

使用道具 举报

8#
ID:105804 发表于 2016-6-13 15:45 | 只看该作者
可以用其他单片机吗?
回复

使用道具 举报

9#
ID:126485 发表于 2016-6-13 22:14 | 只看该作者
厉害学习了感谢分享
回复

使用道具 举报

10#
ID:143028 发表于 2016-10-17 11:06 | 只看该作者
厉害,厉害
回复

使用道具 举报

11#
ID:156472 发表于 2017-1-16 20:34 来自手机 | 只看该作者
楼主qq
回复

使用道具 举报

12#
ID:156472 发表于 2017-1-29 21:06 来自手机 | 只看该作者
初始密码多少
回复

使用道具 举报

13#
ID:179677 发表于 2017-3-23 10:37 | 只看该作者
谢谢楼主, 正要研究12864的显示及矩阵键盘的输入
回复

使用道具 举报

14#
ID:64765 发表于 2017-5-20 20:28 | 只看该作者
好资料,正在学习,谢谢分享。
回复

使用道具 举报

15#
ID:110278 发表于 2017-5-21 20:41 | 只看该作者
非常强大,试下好不好用。
回复

使用道具 举报

16#
ID:203234 发表于 2017-5-21 22:37 | 只看该作者
太强大了,人才啊,才子你很好找工作啊,看好你呀
回复

使用道具 举报

17#
ID:15305 发表于 2017-8-13 12:15 | 只看该作者
感觉楼主很厉害,下载学习,多谢楼主分享。
回复

使用道具 举报

18#
ID:168356 发表于 2017-8-19 09:28 | 只看该作者
真心不错!!!!!!!!!!
回复

使用道具 举报

19#
ID:228374 发表于 2017-8-19 14:12 | 只看该作者
楼主很厉害,资料很给力。
回复

使用道具 举报

20#
ID:228529 发表于 2017-8-20 19:28 | 只看该作者
膜拜大神!!!!可惜俺没有黑币!!
回复

使用道具 举报

21#
ID:247748 发表于 2017-11-10 20:39 | 只看该作者
楼主最好讲下如何使用
回复

使用道具 举报

22#
ID:248798 发表于 2017-11-13 06:55 来自手机 | 只看该作者
学习了
回复

使用道具 举报

23#
ID:247166 发表于 2017-11-18 10:32 | 只看该作者
感谢分享
回复

使用道具 举报

24#
ID:240809 发表于 2017-11-28 13:07 | 只看该作者
LCD.c(495): error C141: syntax error near 'TF0_VECTOR', expected 'const'
LCD.c(495): error C132: 'TF0_VECTOR': not in formal parameter list
LCD.c(495): error C141: syntax error near 'using'
LCD.c(497): error C244: 'TR0': can't initialize, bad type or class
LCD.c(497): error C132: 'TR0': not in formal parameter list
LCD.c(498): error C244: 'TL0': can't initialize, bad type or class
LCD.c(498): error C132: 'TL0': not in formal parameter list
LCD.c(499): error C244: 'TH0': can't initialize, bad type or class
LCD.c(499): error C132: 'TH0': not in formal parameter list
LCD.c(500): error C244: 'TR0': can't initialize, bad type or class
LCD.c(500): error C132: 'TR0': not in formal parameter list
LCD.c(501): error C141: syntax error near 'if'
LCD.c(501): error C141: syntax error near '--'
LCD.c(501): error C129: missing ';' before ')'
Target not created
回复

使用道具 举报

25#
ID:265426 发表于 2018-2-1 20:19 | 只看该作者
没黑币下载不了
回复

使用道具 举报

26#
ID:272625 发表于 2018-2-2 02:23 来自手机 | 只看该作者
非常好的资料,谢谢楼主!
回复

使用道具 举报

27#
ID:7503 发表于 2018-2-2 08:35 | 只看该作者
收藏了,谢谢楼主分享
回复

使用道具 举报

28#
ID:167337 发表于 2018-2-2 11:36 | 只看该作者
谢谢楼主分享好资源,不错!很详细
回复

使用道具 举报

29#
ID:197780 发表于 2018-4-3 00:51 | 只看该作者
楼主很棒很棒啊
回复

使用道具 举报

30#
ID:164172 发表于 2018-4-3 10:38 | 只看该作者
这个牛
回复

使用道具 举报

31#
ID:48207 发表于 2018-5-7 16:24 | 只看该作者
谢谢楼主, 正要研究12864的显示及矩阵键盘的输入
回复

使用道具 举报

32#
ID:222006 发表于 2018-5-10 21:53 | 只看该作者
这是我在论坛看过的最良心,最用心的帖子,大神流弊
回复

使用道具 举报

33#
ID:222006 发表于 2018-5-10 21:55 | 只看该作者
大拿 发表于 2017-11-28 13:07
LCD.c(495): error C141: syntax error near 'TF0_VECTOR', expected 'const'
LCD.c(495): error C132: 'T ...

楼主只是给出了程序框架,可以自己往框架里面加东西
回复

使用道具 举报

34#
ID:55815 发表于 2018-5-11 15:29 | 只看该作者
很好   谢谢学习一下
回复

使用道具 举报

35#
ID:282520 发表于 2018-6-8 13:27 | 只看该作者
多谢楼主分享
回复

使用道具 举报

36#
ID:366979 发表于 2018-7-9 10:44 | 只看该作者
不错,很详细
回复

使用道具 举报

37#
ID:367725 发表于 2018-7-9 11:26 | 只看该作者
很给力!!!
回复

使用道具 举报

38#
ID:235062 发表于 2018-7-14 16:56 | 只看该作者
多谢楼主分享
回复

使用道具 举报

39#
ID:380635 发表于 2018-8-14 12:22 | 只看该作者
学习学习
回复

使用道具 举报

40#
ID:322594 发表于 2018-8-14 15:34 | 只看该作者
下载学习,多谢楼主分享
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表