找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 4573|回复: 0
打印 上一主题 下一主题
收起左侧

STC51单片机制作的电子钟,在1602上显示(包含日期及校准功能)思路与程序

[复制链接]
跳转到指定楼层
楼主
ID:372902 发表于 2019-6-2 00:17 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
单片机实验要求如下
合理使用STC单片机内的定时器资源,并使用1602实现数字钟的功能,显示方式xx:xx:xx(时:分:秒)
基本部分:
能在1602上以xx:xx:xx的形式显示时间,符合真实工作情况(40分)
提高部分:
(1)能通过一个按键将1602切换到显示年月日,显示格式xx/xx/xx(年/月/日)(20分),
(2)通过按键可以调整时、分、秒(30分)
发挥部分:
完善电子钟的功能(10分)
注:
(1)设计的电子钟,使用最少的按键,按照电子表,对多使用3个按键。
(2)时钟工作时,其进位应该与真实的电子钟相同。
(3)显示时间和显示年月日之间的进位关系符合实际。

【思路】
(一)使用定时器0产生1秒的时钟信号,用来时钟走时,并达到24小时候进位到日期中的日,进而是月,年。通过if条件语句实现了瑞年的处理,月份天数不一致的处理。
(二)总共使用了两个按键。通过外部中断0,切换到显示日期,并且记录外部中断0触发的次数,通过次数进入调整状态,时间和日期均可以调整。通过外部中断0进入调整状态后,再通过外部中断1调整时间和日期,日期和时间的调整采用了加满溢出的方式。在日期的调整过程中,不会出现13月 35日等非正常逻辑的显示结果。
(三)完善电子钟的部分,日期也可以调整,整点报时(由于板子上没有蜂鸣器,采用了两个LED表示)。

【运行说明】
(1)进入时间显示状态,默认值为00:00:00
(2)通过外部中断0进入日期显示状态,默认值为2019/05/26。
(3)再次按下外部中断0进入时间调整状态,修改时间的小时的十位,并通过在第二行的^作为修改位标志。
(4)之后类似,此步展示日期修改的年的个位。
(5)完成所有的调整后,再次按下外部中断0,会再次进入时间的显示状态。
【答题过程遇到的问题说明】
(1)调整的部分,如果代码放的位置不合适,调表会有不合理的现象发生,并且需要将调表所用的外部中断1优先级大于定时器中断。
(2)尝试过用闪烁和光标作为修改位的指示,但是很容易发生混乱,就采用了在第二行,用合适的字符指示修改的位。
(3)最初的想法,是想调用矩阵键盘的两个键作为加减,后来在调试的过程中,发现有干扰,因为LCD1602和矩阵键盘都用到了P0口,所以当矩阵键盘被按下的时候,会对1602的显示造成干扰,所以之后的方案,取消了减,只采用了两个外部中断的按键。
(4)为了使时间和日期的显示和调整符合真正的电子钟,要花费一定的精力调整代码。
【源代码及注释】
注:文档中的代码只有主程序main.c,别的头文件及.c文件在工程文件夹内。

单片机源程序如下:
  1. #include "reg51.h"
  2. #include "led1602.h"

  3. #define uchar unsigned char
  4. #define uint  unsigned int

  5. sfr P4=0xc0;
  6. int year=2019;//初始显示日期的年
  7. uchar month=05,day=26,sec = 0, min = 0, hou = 0;//初始显示日期为 2019年05月26日,初始显示时间为00:00:00
  8. uchar num = 0;//调整日期和时间,以及切换显示日期和时间的标志位
  9. uchar time = 0;//一秒的时间信号
  10. uchar jia=0;//调整日期和时间的信号
  11. sbit P46=P4^6;//闹钟(整点报时)的两个灯
  12. sbit P47=P4^7;
  13. void time_rec();//时钟走时函数
  14. void init();//程序初始化函数,包括LCD的初始化,中断的设置,定时器的TH TL设置
  15. void write_liangwei(uchar add,uchar date);//两位字符分离函数
  16. void write_siwei(uchar add1,int date1);//四位字符分离函数
  17. void show_time();//时间显示函数
  18. void show_dat();//日期显示函数
  19. void main()
  20. {       
  21.         init();
  22.         while(1){
  23.         time_rec();//调用时钟走时函数
  24.         if(num == 0)//显示时间
  25.         {
  26.                 lcdshowstr(0,1,"                ");
  27.                 show_time();
  28.         }
  29.         if(num==1)//显示日期
  30.         {
  31.                 lcdshowstr(0,1,"                ");
  32.                 show_dat();
  33.                 jia = 0;
  34.         }
  35.         if(num == 2)//显示时间的同时,修改时间的小时的十位
  36.         {
  37.                 lcdshowstr(0,1,"^");
  38.                 show_time();
  39.                 jia = 0;
  40.         }
  41.         if(num == 3)//显示时间的同时,修改时间的小时的个位,以下类似
  42.         {
  43.         lcdshowstr(0,1," ^");
  44.         show_time();
  45.         jia = 0;
  46.         }
  47.         if(num == 4)
  48.         {
  49.         lcdshowstr(0,1,"   ^");
  50.          show_time();
  51.          jia = 0;
  52.         }
  53.         if(num == 5)
  54.         {
  55.         lcdshowstr(0,1,"    ^");
  56.         show_time();
  57.         jia = 0;
  58.         }
  59.         if(num == 6)
  60.         {
  61.          lcdshowstr(0,1,"      ^");
  62.          show_time();
  63.          jia = 0;
  64.         }
  65.         if(num == 7)
  66.         {
  67.         lcdshowstr(0,1,"       ^");
  68.         show_time();
  69.         jia = 0;
  70.         }
  71.         if(num == 8)
  72.         {
  73.          lcdshowstr(0,1,"^               ");
  74.          show_dat();
  75.          jia = 0;
  76.         }
  77.         if(num == 9)
  78.         {
  79.          lcdshowstr(0,1," ^              ");
  80.          show_dat();
  81.          jia = 0;
  82.         }
  83.         if(num == 10)
  84.         {
  85.          lcdshowstr(0,1,"  ^             ");
  86.         show_dat();
  87.         jia = 0;
  88.         }
  89.         if(num == 11)
  90.         {
  91.         lcdshowstr(0,1,"   ^            ");
  92.         show_dat();
  93.         jia = 0;
  94.         }
  95.         if(num == 12)
  96.         {
  97.         lcdshowstr(0,1,"     ^          ");
  98.         show_dat();
  99.         jia = 0;
  100.         }
  101.         if(num == 13)
  102.         {
  103.         lcdshowstr(0,1,"      ^         ");
  104.         show_dat();
  105.         jia = 0;
  106.         }
  107.         if(num == 14)
  108.         {
  109.         lcdshowstr(0,1,"        ^       ");
  110.         show_dat();
  111.         jia = 0;
  112.         }
  113.         if(num == 15)
  114.         {
  115.         lcdshowstr(0,1,"         ^      ");
  116.         show_dat();
  117.         jia = 0;
  118.         }
  119.         }
  120. }
  121. void zhuangtai() interrupt 0         //外部中断0 用于校准时间在时、分、秒之间进行切换
  122. {        
  123.         num = num + 1;
  124.         if(num == 16)
  125.         {
  126.                 num = 0;
  127.         }
  128. }


  129. void timer0() interrupt 1        //定时器0的中断子函数 用来产生1秒的时间,用于时钟走时
  130. {
  131.         TH0 = 0x3C;
  132.         TL0 = 0xB0;
  133.         time++;
  134.         if(time == 20)
  135.         {
  136.                         sec++;
  137.                   time = 0;
  138.         }
  139. }

  140. void jiaozhun() interrupt 2 //外部中断1用来调整时间、日期
  141. {
  142.         jia = 1;
  143.         if(num == 2)
  144.         {
  145.                 hou = hou + 10;
  146.                 if((hou%10<4)&&hou>24)
  147.                 {
  148.                         hou = hou - 30;
  149.                 }
  150.                 if((hou%10>4)&&hou>24)
  151.                 {
  152.                         hou = hou - 20;
  153.                 }
  154.                 if(hou==24)
  155.                 {
  156.                         hou = hou - 20;
  157.                 }
  158.         }
  159.         if(num==3)
  160.         {
  161.                 hou = hou + 1;
  162.                 if(hou>23)
  163.                 hou = 0;
  164.         }
  165.         if(num==4)
  166.         {
  167.                 min =  min+10 ;
  168.                 if(min > 59)
  169.                 {
  170.                         min = min -60;
  171.                 }
  172.         }
  173.         if(num==5)
  174.         {
  175.                  min=  min+1;
  176.                 if(min>59)
  177.                 {
  178.                         min = 0;
  179.                 }
  180.         }
  181.         if(num==6 )
  182.         {
  183.                  sec=sec + 10;
  184.                  if(sec >59 )
  185.                  {
  186.                          sec = sec - 60;
  187.                  }
  188.         }
  189.         if(num==7)
  190.         {
  191.                  sec= sec+1;
  192.                 if(sec>59)
  193.                 {
  194.                         sec = 0;
  195.                 }
  196.         }
  197.         if(num==8)
  198.         {
  199.                  year= year+1000;
  200.                 if(year>9000)
  201.                 {
  202.                         year = year -10000;
  203.                 }
  204.         }
  205.         if(num==9)
  206.         {
  207.                 year=year+100;
  208.                 if(year%1000/100>9)
  209.                 {
  210.                         year = year - 1000;
  211.                 }
  212.         }
  213.         if(num==10)
  214.         {
  215.                  year=year+10;
  216.                 if(year%100/10>9)
  217.                 {
  218.                         year = year -100;
  219.                 }       
  220.         }
  221.         if(num==11)
  222.         {
  223.                  year=year+1;
  224.                 if(year%10>9)
  225.                 {
  226.                         year = year - 10;
  227.                 }
  228.         }
  229.         if(num==12)
  230.         {
  231.                 month = month +10;
  232.                 if((month%10<2)&&month>12)
  233.                 {
  234.                         month = month - 20;
  235.                 }
  236.                 if((month%10>2)&&month>12)
  237.                 {
  238.                         month = month - 10;
  239.                 }
  240.                 if(month==12)
  241.                 {
  242.                         month = month - 10;
  243.                 }
  244.         }
  245.         if(num==13)
  246.         {
  247.                 month = month +1;
  248.                 if(month%10>9)
  249.                 {
  250.                         month = 0;
  251.                 }
  252.                 if(month==12)
  253.                 {
  254.                         month = 0;
  255.                 }
  256.         }
  257.         if(num==14)
  258.         {
  259.                 day = day +10;
  260.                 if(month==1||month==3||month==5||month==7||month==8||month==10||month==12)
  261.                 {
  262.                         if((day%10<1)&&day>31)
  263.                         {
  264.                                 day = day - 40;
  265.                         }
  266.                         if((day%10>1)&&day>31)
  267.                         {
  268.                                 day = day - 30;
  269.                         }
  270.                         if(day==31)
  271.                         {
  272.                                 day = 0;
  273.                         }
  274.                 }
  275.                 if(month==4||month==6||month==9||month==11)
  276.                 {
  277.                         if(day>30)
  278.                         {
  279.                                 day = day - 40;
  280.                         }
  281.                 }
  282.                         if(((year%4==0&&year%100!=0)||(year%400==0))&&month==2)
  283.                         {
  284.                                 if(day>29)
  285.                         {
  286.                                 day = day - 30;
  287.                         }
  288.                         }
  289.                         if(year%4!=0&&month==2)
  290.                         {
  291.                                 if(day>28)
  292.                                 {
  293.                                         day = day -30;
  294.                                 }
  295.                   }
  296.         }
  297.         if(num==15)
  298.         {
  299.                 day = day +1;
  300.                 if((month==1||month==3||month==5||month==7||month==8||month==10||month==12)&&day>31)
  301.                 {
  302.                         day = 0;
  303.                 }
  304.                 if((month==4||month==6||month==9||month==11)&&day>30)
  305.                 {
  306.                         day = 0;
  307.                 }
  308.                 if(((year%4==0&&year%100!=0)||(year%400==0))&&day>29)
  309.                 {
  310.                         day = 0;
  311.                 }
  312.                 if((year%4!=0)&&day>28)
  313.                 {
  314.                         day = 0;
  315.                 }
  316.         }
  317. }

  318. /*
  319. *时间走时函数,计满24小时后,日加一
  320. *并且设置了整点报时,或者说闹钟功能
  321. *由于单片机没有蜂鸣器,采用两个LED作为表示
  322. *LED亮一分钟后自动熄灭
  323. */

  324. void time_rec()
  325. {
  326.         if(sec == 60)
  327.                 {       
  328.                         P46 = 1;
  329.                         P47 = 1;
  330.                         sec = 0;
  331.                         min ++;
  332.                         if(min ==60)
  333.                         {
  334.                                 P46 = 0;
  335.                                 P47 = 0;
  336.                                 min = 0;
  337.                                 hou++;
  338.                                 if(hou==24)
  339.                                 {
  340.                                         hou = 0;
  341.                                         day++;
  342.                                         if((year%4==0&&year%100!=0)||(year%400==0))//判断是否为闰年的2月
  343.                                          {
  344.              if(day==30)
  345.                  {
  346.                     day=0;
  347.                                                                                 month++;
  348.                                                                                         if(month==13)
  349.                                                                                         {
  350.                                                                                                 month=0;
  351.                                                                                                 year++;
  352.                                                                                         }
  353.                  }
  354.            }
  355.                                          if(year%4!=0&&month==2)
  356.                                          {
  357.                                                  if(day==29)
  358.                                                  {
  359.                                                                 day=0;
  360.                                                          month++;
  361.                                                                                         if(month==13)
  362.                                                                                         {
  363.                                                                                                 month=0;
  364.                                                                                                 year++;
  365.                                                                                         }
  366.                                                  }
  367.                                          }
  368.                                          if(month!=2&&month!=4&&month!=6&&month!=9&&month!=11)
  369.                                          {
  370.                                                         if(day==32)
  371.                                                         {
  372.                                                                 day=0;
  373.                                                                 month++;
  374.                                                                                         if(month==13)
  375.                                                                                         {
  376.                                                                                                 month=0;
  377.                                                                                                 year++;
  378.                                                                                         }
  379.                                                         }
  380.                                          }
  381.                                          if(month==4||month==6||month==9||month==11)
  382.                                          {
  383.                                                  {
  384.                                                          day=0;
  385.                                                          month++;
  386.                                                                                         if(month==13)
  387.                                                                                         {
  388.                                                                                                 month=0;
  389.                                                                                                 year++;
  390.                                                                                         }
  391.                                                  }
  392.                                          }
  393.                                          
  394.                                 }
  395.                         }
  396.                 }
  397. }


  398. /*
  399. *初始化函数,包括LCD1602初始化
  400. *中断的开启,优先级的设置
  401. *定时器的工作方式,计算初值等。
  402. */
  403. void init()
  404. {               
  405.         P0M0=0;
  406.         P0M1=0;
  407.   P2M0=0;
  408.         P2M1=0;
  409.         lcdwait();
  410.         lcdinit();
  411.         ET0 = 1;
  412.         EX0 = 1;                //外部中断0允许
  413.         EX1 = 1;    //外部中断1允许
  414.         PX1 = 0;                //调整外部中断1的优先级
  415.         PT0 = 1;    //调整定时器0中断优先级
  416.         IT1 = 1;
  417.         TMOD = 0x01;     //time0为定时器,方式1
  418.         TH0 = 0x3C;//(65535 - 50000) / 256;      //预置计算初值
  419.         TL0 = 0xB0;//(65535 - 50000) % 256;       
  420.         IT0 = 1;         //低电平有效
  421.         TR0 = 1;         //为1时启动计数       
  422.   EA = 1;       
  423. }


  424. /*
  425. *字符分离函数
  426. *将两位的字符分离出十位和个位
  427. */
  428. void write_liangwei(uchar add,uchar date)
  429. {
  430.               uchar shi,ge;
  431.               shi=date/10;//分解一个2位数的十位和个位
  432. ……………………

  433. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

所有资料51hei提供下载:
51单片机实验_在1602上制作电子钟(包含日期).rar (227.01 KB, 下载次数: 83)

具体的思路和过程在压缩包里。
压缩包包含工程文件和实验报告。

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表