找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2469|回复: 2
打印 上一主题 下一主题
收起左侧

基于STC12C5A60S2的光立方程序

[复制链接]
跳转到指定楼层
楼主
8X8光立方原理图+程序源码


单片机源程序如下:
  1. * 上升沿电子科技
  2. //Build target 'Target 1'
  3. //compiling stc8x8x8.c...
  4. //linking...
  5. //Program Size: data=17.1 xdata=355 code=53475
  6. //creating hex file from "stc8x8x8"...
  7. //"stc8x8x8" - 0 Error(s), 0 Warning(s).
  8. //没有关闭本店字样的显示函数 FLASH_12        FLASH_14  FLASH_18 如果需要自己关闭函数即
  9. #include "STC12C5A.H"  //包含单片机的头文件使用KEIL必须添加进来否则编译不了
  10. #include "intrins.h"   //包含NOP延时头文件
  11. #define uchar unsigned char         
  12. #define uint unsigned int         
  13. #define nop() _nop_();_nop_();

  14. void key_baihei_scan();  
  15. void key_shangwiej_scan();   
  16. void key_donghua_scan();       
  17. void key_yinyue_scan();
  18. void box(unsigned char x1,unsigned char z1,unsigned char y1,unsigned char x2,unsigned char z2,unsigned char y2,unsigned char fill,unsigned char le);
  19. /*@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@*/
  20. sbit AD=P1^0;                 //定义音频输入接口
  21. sbit GM=P1^1;                 //定义光敏输入接口

  22. sbit K1=P0^0;                 //定义功能按键接口
  23. sbit K2=P0^1;                 //定义功能按键接口
  24. sbit K3=P0^2;                 //定义功能按键接口
  25. sbit K4=P0^3;                 //定义功能按键接口

  26. sbit PWM_POW=P4^4;   //定义PWM呼吸小夜灯和主板电源指示灯功能接口 低电平
  27. sbit IRIN=P3^2;           //红外线信号接口

  28. /*@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@*/
  29. sbit M_HR_DS0=P1^4;          //模拟数据发送端口
  30. sbit M_LR_DS0=P1^5;          //模拟数据发送端口


  31. sbit M_HG_DS0=P1^6;          //模拟数据发送端口
  32. sbit M_LG_DS0=P0^7;          //模拟数据发送端口

  33. sbit CLK=P1^3;    //模拟时钟控制端口
  34. sbit SUO=P1^2;          //模拟锁存信号端口
  35. /*$-_-$_-_$-_-$_-_$-_-$_-_$-_-$_-_$-_-$_-_$-_-$_-_$-_-$_-_$-_-$_-_$-_-$_-_$-_-$_-_$*/

  36. sbit M_A=P3^4;          //行数据扫描信号
  37. sbit M_B=P3^5;          //行数据扫描信号
  38. sbit M_C=P3^6;          //行数据扫描信号
  39. sbit M_D=P3^7;          //行数据扫描信号
  40. sbit M_EN=P1^7;   //行数据扫描信号
  41. /*@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@*/
  42. unsigned char displayck[]=
  43. {
  44. 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
  45. 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
  46. 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
  47. 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
  48. 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
  49. 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
  50. 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
  51. 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
  52. };
  53. unsigned char shuzu8X8[]=
  54. {
  55. 0XBD,0X85,0X85,0XBD,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,
  56. 0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,
  57. 0XA1,0XA1,0XA1,0XBD,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,
  58. 0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,
  59. };

  60. /*@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@*/
  61. uchar xdata display[8][8];
  62. uchar xdata msd=4;
  63. uint ld=500;
  64. uchar test=0,key1=0,key2=0,key3=0,key4=0;
  65. bit pu;
  66. uchar xsdsq=0;
  67. uchar cd=0,cnt=0,errd_flag=0,read_flag=0,layerr=0,saom=0;
  68. unsigned char IRCOM[7];
  69. /*rank:A,1,2,3,4,I,心,U*/
  70. uchar code table_cha[8][8]=
  71. {
  72. 0x51,0x51,0x51,0x4a,0x4a,0x4a,0x44,0x44,
  73. 0x18,0x1c,0x18,0x18,0x18,0x18,0x18,0x3c,
  74. 0x3c,0x66,0x66,0x30,0x18,0x0c,0x06,0x7e,
  75. 0x3c,0x66,0x60,0x38,0x60,0x60,0x66,0x3c,
  76. 0x30,0x38,0x3c,0x3e,0x36,0x7e,0x30,0x30,
  77. 0x3c,0x3c,0x18,0x18,0x18,0x18,0x3c,0x3c,
  78. 0x66,0xff,0xff,0xff,0x7e,0x3c,0x18,0x18,
  79. 0x66,0x66,0x66,0x66,0x66,0x66,0x7e,0x3c,
  80. };
  81. /*the "ideasoft"*/
  82. /*rank:A,1,2,3,4,I,心,U*////开机的LOGO显示字符字模提取PLC2002
  83. uchar code table_cha_sj[8][8]=
  84. {
  85. 0x08,0x08,0x78,0x08,0x08,0x08,0x08,0xFF,/*"上",0*/
  86. 0x18,0x04,0x22,0x24,0xFF,0x24,0x24,0x24,/*"升",0*/
  87. 0x78,0x49,0xCE,0x00,0xFF,0x84,0x86,0xFD,/*"沿",0*/
  88. 0x3C,0x42,0xA5,0xDB,0x81,0x99,0x42,0x3C,/*"笑脸",0*/
  89. 0x3C,0x42,0xA5,0xDB,0x81,0x99,0x42,0x3C,/*"笑脸",0*/
  90. 0x08,0x2A,0x2A,0xFF,0x14,0x14,0x96,0x71,/*"光",0*/
  91. 0x08,0x7F,0x22,0x22,0x24,0x14,0x14,0xFF,/*"立",0*/
  92. 0x08,0xFF,0x04,0x7C,0x44,0x44,0x42,0x31,/*"方",0*/
  93. };
  94. /*rank:3,D,C,L,U,E,F,F,T*///开机的LOGO显示字符字模提取PLC2002
  95. uchar code table_cha_cu[9][8]=
  96. {
  97. 0x7E,0x40,0x40,0x7C,0x7C,0x40,0x40,0x7E,/*"3",0*/
  98. 0x00,0x3C,0x44,0x44,0x44,0x44,0x44,0x3C,/*"D",0*/
  99. 0x00,0x3C,0x02,0x02,0x02,0x02,0x02,0x3C,/*"C",0*/
  100. 0x00,0x04,0x04,0x04,0x04,0x04,0x04,0x7C,/*"L",0*/
  101. 0x00,0x42,0x42,0x42,0x42,0x42,0x42,0x3C,/*"U",0*/
  102. 0x00,0x7E,0x02,0x02,0x7E,0x02,0x02,0x7E,/*"E",0*/
  103. 0x78,0x04,0x04,0x04,0x7C,0x04,0x04,0x04,/*"F",0*/
  104. 0x78,0x04,0x04,0x04,0x7C,0x04,0x04,0x04,/*"F",0*/
  105. 0x00,0x7E,0x18,0x18,0x18,0x18,0x18,0x18,/*"T",0*/
  106. };
  107. uchar code table_id[40]=
  108. {
  109. 0x81,0xff,0x81,0x00,0xff,0x81,0x81,0x7e,
  110. 0x00,0xff,0x89,0x89,0x00,0xf8,0x27,0x27,
  111. 0xf8,0x00,0x8f,0x89,0x89,0xf9,0x00,0xff,
  112. 0x81,0x81,0xff,0x00,0xff,0x09,0x09,0x09,
  113. 0x01,0x00,0x01,0x01,0xff,0x01,0x01,0x00,
  114. };
  115. /*the "ideasoft"*///侧面移动显示字符取摸plc2002
  116. uchar code table_yd[120]={
  117. 0x08,0x08,0x78,0x08,0x08,0x08,0x08,0xFF,/*"上",0*/
  118. 0x18,0x04,0x22,0x24,0xFF,0x24,0x24,0x24,/*"升",0*/
  119. 0x78,0x49,0xCE,0x00,0xFF,0x84,0x86,0xFD,/*"沿",0*/
  120. 0x7E,0x2A,0x2A,0xFF,0xAA,0xAA,0xBE,0x40,/*"电",0*/
  121. 0x09,0x49,0x89,0xFD,0x0B,0x0B,0x09,0x08,/*"子",0*/
  122. 0x00,0x7C,0x92,0x92,0x92,0x92,0x7C,0x00,/*"8",0*/
  123. 0x00,0x42,0x24,0x18,0x18,0x24,0x42,0x00,/*"x",0*/
  124. 0x00,0x7C,0x92,0x92,0x92,0x92,0x7C,0x00,/*"8",0*/
  125. 0x00,0x42,0x24,0x18,0x18,0x24,0x42,0x00,/*"x",0*/
  126. 0x00,0x7C,0x92,0x92,0x92,0x92,0x7C,0x00,/*"8",0*/
  127. 0x88,0x4E,0x78,0x0F,0xF8,0x8E,0x88,0x48,/*"光",0*/
  128. 0x82,0x8E,0xF2,0x83,0xE2,0x9E,0x82,0x80,/*"立",0*/
  129. 0x82,0x42,0x3E,0x0B,0x8A,0x8A,0x7A,0x02,/*"方",0*/
  130. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  131. };
  132. /*railway*/
  133. uchar code dat[128]=
  134. {
  135. 0x00,0x20,0x40,0x60,0x80,0xa0,0xc0,0xe0,
  136. 0xe4,0xe8,0xec,0xf0,0xf4,0xf8,0xfc,0xdc,
  137. 0xbc,0x9c,0x7c,0x5c,0x3c,0x1c,0x18,0x14,
  138. 0x10,0x0c,0x08,0x04,0x25,0x45,0x65,0x85,
  139. 0xa5,0xc5,0xc9,0xcd,0xd1,0xd5,0xd9,0xb9,
  140. 0x99,0x79,0x59,0x39,0x35,0x31,0x2d,0x29,
  141. 0x4a,0x6a,0x8a,0xaa,0xae,0xb2,0xb6,0x96,
  142. 0x76,0x56,0x52,0x4e,0x6f,0x8f,0x93,0x73,
  143. 0x6f,0x8f,0x93,0x73,0x4a,0x6a,0x8a,0xaa,
  144. 0xae,0xb2,0xb6,0x96,0x76,0x56,0x52,0x4e,
  145. 0x25,0x45,0x65,0x85,0xa5,0xc5,0xc9,0xcd,
  146. 0xd1,0xd5,0xd9,0xb9,0x99,0x79,0x59,0x39,
  147. 0x35,0x31,0x2d,0x29,0x00,0x20,0x40,0x60,
  148. 0x80,0xa0,0xc0,0xe0,0xe4,0xe8,0xec,0xf0,
  149. 0xf4,0xf8,0xfc,0xdc,0xbc,0x9c,0x7c,0x5c,
  150. 0x3c,0x1c,0x18,0x14,0x10,0x0c,0x08,0x04,
  151. };
  152. /*railway 2*/
  153. uchar code dat2[28]=
  154. {
  155. 0x00,0x20,0x40,0x60,0x80,0xa0,0xc0,0xe0,
  156. 0xe4,0xe8,0xec,0xf0,0xf4,0xf8,0xfc,0xdc,
  157. 0xbc,0x9c,0x7c,0x5c,0x3c,0x1c,0x18,0x14,
  158. 0x10,0x0c,0x08,0x04,
  159. };
  160. /*railway 3*/
  161. uchar code dat3[24]=
  162. {
  163. 0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x16,
  164. 0x26,0x36,0x46,0x56,0x66,0x65,0x64,0x63,
  165. 0x62,0x61,0x60,0x50,0x40,0x30,0x20,0x10,
  166. };
  167. /*3p char*/
  168. uchar code table_3p[3][8]=
  169. {
  170. 0xff,0x89,0xf5,0x93,0x93,0xf5,0x89,0xff,
  171. 0x0e,0x1f,0x3f,0x7e,0x7e,0x3f,0x1f,0x0e,
  172. 0x18,0x3c,0x7e,0xff,0x18,0x18,0x18,0x18,
  173. };
  174. /*3p char*///飘雪打字显示字符取摸plc2002
  175. uchar code table_3p_zf[11][8]={
  176. 0x88,0x4E,0x78,0x0F,0xF8,0x8E,0x88,0x48,/*"光",0*/
  177. 0x82,0x8E,0xF2,0x83,0xE2,0x9E,0x82,0x80,/*"立",0*/
  178. 0x82,0x42,0x3E,0x0B,0x8A,0x8A,0x7A,0x02,/*"方",0*/
  179. 0x00,0x7C,0x92,0x92,0x92,0x92,0x7C,0x00,/*"8",0*/
  180. 0x00,0x42,0x24,0x18,0x18,0x24,0x42,0x00,/*"x",0*/
  181. 0x00,0x7C,0x92,0x92,0x92,0x92,0x7C,0x00,/*"8",0*/
  182. 0x00,0x42,0x24,0x18,0x18,0x24,0x42,0x00,/*"x",0*/
  183. 0x00,0x7C,0x92,0x92,0x92,0x92,0x7C,0x00,/*"8",0*/
  184. 0x00,0x00,0xC3,0xFF,0xFF,0xC3,0x00,0x00,//i
  185. 0x0e,0x1f,0x3f,0x7e,0x7e,0x3f,0x1f,0x0e,
  186. 0x00,0x7F,0xFF,0x80,0x80,0xFF,0x7F,0x00,//u
  187. };
  188. unsigned int voivol(unsigned int n);
  189. void sendbyte(unsigned int num1,unsigned int num2,unsigned int num3,unsigned int num4);
  190. void fvb();
  191. void sendbyteck(unsigned int num1,unsigned int num2,unsigned int num3,unsigned int num4);
  192. /*initializtion
  193. That is to initialize the program .
  194. It is write to set the timer in c52 mcu.
  195. So the program can renovate the led_3d_cube in fixed time use the interrupt function.*/
  196. /*##########################################################################################*/
  197. uchar code number[]={//数字,滚动字幕使用,从上至下
  198.         0x00,0x40,0x40,0x40,0x40,0x40,0x7E,0x00,/*"L",0*/
  199.         0x00,0x7E,0x40,0x7E,0x40,0x40,0x7E,0x00,/*"E",1*/
  200.         0x00,0x7C,0x46,0x42,0x42,0x46,0x7C,0x00,/*"D",2*/
  201.         0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",3*/
  202.         0x00,0x3C,0x62,0x40,0x40,0x61,0x3E,0x00,/*"C",4*/
  203.         0x00,0x42,0x42,0x42,0x42,0x42,0x3C,0x00,/*"U",5*/
  204.         0x00,0x7C,0x42,0x7C,0x42,0x41,0x7E,0x00,/*"B",6*/
  205.         0x00,0x7E,0x40,0x7E,0x40,0x40,0x7E,0x00,/*"E",7*/
  206.         0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",8*/
  207.         0x00,0x42,0x42,0x7E,0x42,0x42,0x42,0x00,/*"H",9*/
  208.         0x00,0x42,0x42,0x42,0x42,0x42,0x3C,0x00,/*"U",10*/
  209.         0x00,0xC2,0x64,0x38,0x10,0x10,0x10,0x00,/*"Y",11*/
  210.         0x00,0x18,0x18,0x24,0x7E,0x42,0x81,0x00,/*"A",12*/
  211.         0x00,0x62,0x62,0x52,0x4A,0x46,0x46,0x00,/*"N",13*/
  212.         0x00,0x3C,0x62,0x40,0x4E,0x62,0x3E,0x00,/*"G",14*/
  213.         0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",15*/
  214.         0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",16*/
  215.         0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",17*/
  216.         0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*"Y",18*/
  217.         0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",19*/
  218.         0x00,0x44,0x44,0x7C,0x44,0x44,0x44,0x00,/*"H",20*/
  219.         0x00,0x44,0x44,0x44,0x44,0x44,0x38,0x00,/*"U",21*/
  220.         0x00,0x82,0x44,0x28,0x10,0x10,0x10,0x00,/*"Y",22*/
  221.         0x00,0x10,0x28,0x28,0x7C,0x44,0x82,0x00,/*"A",23*/
  222.         0x00,0x64,0x64,0x54,0x54,0x4C,0x44,0x00,/*"N",24*/
  223.         0x00,0x78,0xC4,0x80,0x9C,0xC4,0x7C,0x00,/*"G",25*/
  224.         0x00,0x10,0x10,0x10,0x10,0x00,0x10,0x00,/*"!",26*/
  225.         0X38,0X64,0X06,0X3E,0X66,0X66,0X24,0X18,//"9"27
  226.         0X3C,0X66,0X66,0X3C,0X66,0X66,0X24,0X18,//"8"28
  227.         0X30,0X30,0X30,0X18,0X0C,0X04,0X46,0X7E,//"7"29
  228.         0X18,0X26,0X66,0X78,0X60,0X66,0X24,0X18,//"6"30
  229.         0X18,0X64,0X46,0X06,0X1C,0X60,0X62,0X7E,//"5"31
  230.         0X0C,0X0C,0X7E,0X68,0X6C,0X2C,0X3C,0X1C,//"4"32
  231.         0x78,0x46,0x06,0x06,0x1c,0x06,0x46,0x3c,//"3"33
  232.         0xfc,0xc0,0x60,0x30,0x18,0xc6,0xc6,0x78,//"2"34
  233.         0x3c,0x18,0x18,0x18,0x18,0x18,0x38,0x18,//"1"35
  234.         0X18,0X24,0X66,0X66,0X66,0X66,0X24,0X18,//"0"36

  235.         0x44,0xEE,0x66,0x22,0x3E,0x22,0x3E,0x00,/*"Y",1*/
  236.         0x08,0xF4,0x44,0x2E,0x94,0x64,0x03,0x00,/*"D",2*/
  237.         0x7E,0x10,0x10,0x10,0x1C,0x10,0x10,0x00,/*"S",3*/
  238.         0x81,0x42,0x18,0xA5,0xA5,0x18,0x42,0x81,/*"M",4*/
  239.         0x00,0x00,0x18,0x24,0x24,0x18,0x00,0x00,/*"M",5*/
  240. };
  241. uchar code flash0__tab[]=
  242. {
  243. 0X03,0X07,0X06,0X08,0X10,0X20,0X40,0X80,
  244. 0X07,0X07,0X0F,0X1C,0X18,0X20,0X40,0X80,
  245. 0X0F,0X0F,0X1F,0X3F,0X3C,0X78,0X60,0X80,
  246. 0X1F,0X1F,0X3F,0X3F,0X7F,0X7C,0X70,0X80,
  247. 0X3F,0X3F,0X3F,0X7F,0X7F,0X7F,0XF8,0XC0,
  248. 0X7F,0X7F,0X7F,0X7F,0XFF,0XFF,0XFF,0XF0,
  249. 0XFF,0XFF,0XFF,0XFF,0XFF,0XFF,0XFF,0XFF//7
  250. };
  251. uchar code yc_table[]={//2帧,yinchu函数使用
  252.         0x00,0x00,0x00,0x3c,0x3c,0x00,0x00,0x00,//1I
  253.         0x00,0x00,0x00,0x3c,0x3c,0x00,0x00,0x00,
  254.         0x00,0x00,0x00,0x18,0x18,0x00,0x00,0x00,
  255.         0x00,0x00,0x00,0x18,0x18,0x00,0x00,0x00,
  256.         0x00,0x00,0x00,0x18,0x18,0x00,0x00,0x00,
  257.         0x00,0x00,0x00,0x18,0x18,0x00,0x00,0x00,
  258.         0x00,0x00,0x00,0x3c,0x3c,0x00,0x00,0x00,
  259.         0x00,0x00,0x00,0x3c,0x3c,0x00,0x00,0x00,

  260.         0x00,0x00,0x00,0x18,0x18,0x00,0x00,0x00,//2心脏
  261.         0x00,0x00,0x00,0x3c,0x3c,0x00,0x00,0x00,
  262.         0x00,0x00,0x18,0x7e,0x7e,0x18,0x00,0x00,
  263.         0x00,0x00,0x3c,0x7e,0x7e,0x3c,0x00,0x00,
  264.         0x00,0x18,0x7e,0xff,0xff,0x7e,0x18,0x00,
  265.         0x00,0x00,0x7e,0xff,0xff,0x7e,0x00,0x00,
  266.         0x00,0x00,0x00,0x66,0x66,0x00,0x00,0x00,
  267.         0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,

  268.         0x00,0x00,0x00,0x18,0x18,0x00,0x00,0x00,//3U
  269.         0x00,0x00,0x00,0x3c,0x3c,0x00,0x00,0x00,
  270.         0x00,0x00,0x00,0x66,0x66,0x00,0x00,0x00,
  271.         0x00,0x00,0x00,0x66,0x66,0x00,0x00,0x00,
  272.         0x00,0x00,0x00,0x66,0x66,0x00,0x00,0x00,
  273.         0x00,0x00,0x00,0x66,0x66,0x00,0x00,0x00,
  274.         0x00,0x00,0x00,0x66,0x66,0x00,0x00,0x00,
  275.         0x00,0x00,0x00,0x66,0x66,0x00,0x00,0x00,

  276.         0x00,0x00,0x00,0x24,0x24,0x00,0x00,0x00,//4苹果
  277.         0x00,0x00,0x00,0x7e,0x7e,0x00,0x00,0x00,
  278.         0x00,0x00,0x3c,0xff,0x7e,0x3c,0x00,0x00,
  279.         0x00,0x18,0x7e,0xff,0xff,0x7e,0x18,0x00,
  280.         0x00,0x00,0x3c,0xfe,0xfe,0x3c,0x00,0x00,
  281.         0x00,0x00,0x00,0x6e,0x66,0x00,0x00,0x00,
  282.         0x00,0x00,0x00,0x18,0x10,0x00,0x00,0x00,
  283.         0x00,0x00,0x00,0x0c,0x00,0x00,0x00,0x00,

  284.         0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//5五角星
  285.         0x00,0x00,0x00,0x22,0x22,0x00,0x00,0x00,
  286.         0x00,0x00,0x00,0x36,0x36,0x00,0x00,0x00,
  287.         0x00,0x00,0x00,0x1c,0x1c,0x00,0x00,0x00,
  288.         0x00,0x00,0x00,0x3e,0x3e,0x00,0x00,0x00,
  289.         0x00,0x00,0x00,0x7f,0x7f,0x00,0x00,0x00,
  290.         0x00,0x00,0x00,0x1c,0x1c,0x00,0x00,0x00,
  291.         0x00,0x00,0x00,0x08,0x08,0x00,0x00,0x00
  292. };
  293. char code tab_mian[]={4,3,7,2,0,6,1,5};
  294. char code tab_xian[]={5,0,3,6,1,7,4,2};
  295. char code tab_dian[]={
  296. 0,1,3,4,7,2,6,5,
  297. 1,7,5,0,4,2,3,6,
  298. 1,6,4,0,7,5,2,3,
  299. 5,7,4,2,0,1,6,3,
  300. 1,6,3,4,5,2,0,7,
  301. 6,7,1,5,4,2,0,3,
  302. 2,0,3,1,5,7,6,4,
  303. 5,2,1,0,3,6,7,4,

  304. 0,7,4,3,2,6,5,1,
  305. 4,7,2,6,5,3,1,0,
  306. 0,1,4,3,2,5,6,7,
  307. 3,6,7,2,1,4,0,5,
  308. 5,2,1,0,7,6,4,3,
  309. 1,4,0,2,3,5,7,6,
  310. 4,2,1,5,6,0,7,3,
  311. 0,4,7,3,1,5,2,6,

  312. 1,0,6,7,4,5,3,2,
  313. 6,0,4,2,7,5,1,3,
  314. 3,1,2,6,7,5,0,4,
  315. 2,4,1,0,6,5,3,7,
  316. 6,2,1,5,7,4,3,0,
  317. 1,0,5,3,2,6,7,4,
  318. 7,1,0,4,2,5,6,3,
  319. 6,4,1,0,2,5,7,3,

  320. 2,6,7,0,3,5,1,4,
  321. 6,3,7,2,1,4,5,0,
  322. 7,0,5,6,1,3,4,2,
  323. 5,2,3,4,0,7,1,6,
  324. 2,3,0,7,6,4,1,5,
  325. 1,3,0,4,5,2,7,6,
  326. 3,4,2,5,6,0,1,7,
  327. 4,2,0,6,3,7,5,1,

  328. 4,1,6,0,7,3,2,5,
  329. 7,6,0,5,1,4,2,3,
  330. 6,1,3,2,7,0,5,4,
  331. 2,7,3,6,0,5,1,4,
  332. 6,5,2,1,0,3,7,4,
  333. 7,1,0,5,4,6,3,2,
  334. 1,7,3,2,6,0,5,4,
  335. 0,2,6,7,4,3,5,1,

  336. 0,5,4,1,6,3,2,7,
  337. 0,1,5,4,7,2,3,6,
  338. 1,4,2,3,5,7,6,0,
  339. 2,7,0,5,6,1,3,4,
  340. 2,1,6,0,4,5,3,7,
  341. 6,1,5,4,2,7,3,0,
  342. 4,0,5,2,1,6,7,3,
  343. 1,2,0,6,3,7,4,5,

  344. 5,0,1,2,4,3,7,6,
  345. 4,2,0,6,7,5,1,3,
  346. 2,3,7,4,6,1,0,5,
  347. 1,5,7,0,3,4,2,6,
  348. 2,7,6,3,5,1,0,4,
  349. 4,5,7,0,2,3,1,6,
  350. 0,5,7,3,2,1,6,4,
  351. 6,4,5,7,1,0,3,2,

  352. 1,6,5,7,0,2,3,4,
  353. 2,1,5,3,6,4,0,7,
  354. 0,6,5,4,3,7,0,2,
  355. 6,4,2,0,3,1,5,7,
  356. 4,5,7,6,0,3,1,2,
  357. 0,3,7,2,1,5,6,4,
  358. 5,2,4,0,1,3,7,2,
  359. 4,5,3,1,7,0,2,6
  360. };
  361. uint code tab_water[]={
  362. 0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0080,0x0140,0x0080,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
  363. 0x0000,0x0000,0x0000,0x0000,0x0000,0x0080,0x0140,0x0220,0x0140,0x0080,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
  364. 0x0000,0x0000,0x0000,0x0000,0x01c0,0x0220,0x0410,0x0410,0x0410,0x0220,0x01c0,0x0000,0x0000,0x0000,0x0000,0x0000,                         
  365. 0x0000,0x0000,0x0000,0x03e0,0x0410,0x0808,0x0808,0x0808,0x0808,0x0808,0x0410,0x03e0,0x0000,0x0000,0x0000,0x0000,
  366. 0x0000,0x0000,0x07f0,0x0808,0x1004,0x1004,0x1004,0x1004,0x1004,0x1004,0x1004,0x0808,0x07f0,0x0000,0x0000,0x0000,
  367. 0x0000,0x0ff8,0x1004,0x2002,0x2002,0x2002,0x2002,0x2002,0x2002,0x2002,0x2002,0x2002,0x1004,0x0ff8,0x0000,0x0000,
  368. 0x1ffc,0x2002,0x4001,0x4001,0x4001,0x4001,0x4001,0x4001,0x4001,0x4001,0x4001,0x4001,0x4001,0x2002,0x1ffc,0x0000
  369. };
  370. uchar code tab_print[]={//打印函数使用
  371. 0X00,0X00,0X3C,0X3C,0X3C,0X3C,0X00,0X00,//正方形
  372. 0X20,0X30,0X38,0X3C,0X3C,0X38,0X30,0X20,//三角形
  373. 0X08,0X14,0X22,0X77,0X14,0X14,0X14,0X1C,//箭头
  374. 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,//全亮
  375. };
  376. uchar code tab_xuanzhuantiao[]=//旋转条
  377. {
  378.         0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80,
  379.         0x00,0x01,0x06,0x08,0x10,0x60,0x80,0x00,
  380.         0x00,0x00,0x01,0x0e,0x70,0x80,0x00,0x00,
  381.         0x00,0x00,0x00,0x0f,0xf0,0x00,0x00,0x00,
  382.         0x00,0x00,0x00,0xf0,0x0f,0x00,0x00,0x00,
  383.         0x00,0x00,0x80,0x70,0x0e,0x01,0x00,0x00,
  384.         0x00,0x80,0x60,0x10,0x08,0x06,0x01,0x00,//
  385.         0x80,0x40,0x20,0x10,0x08,0x04,0x02,0x01,//1
  386.         0x40,0x20,0x20,0x10,0x08,0x04,0x04,0x02,
  387.         0x20,0x10,0x10,0x10,0x08,0x08,0x08,0x04,
  388.         0x10,0x10,0x10,0x10,0x08,0x08,0x08,0x08,
  389.         0x08,0x08,0x08,0x08,0x10,0x10,0x10,0x10,
  390.         0x04,0x08,0x08,0x08,0x10,0x10,0x10,0x20,
  391.         0x02,0x04,0x04,0x08,0x10,0x20,0x20,0x40//7
  392. };
  393. uchar code tab_xuanzhuantiao2[]=//旋转条
  394. {
  395. 0X01,0X02,0X04,0X08,0X10,0X20,0X40,0X80,//1
  396. 0X02,0X04,0X08,0X00,0X10,0X20,0X40,0X80,//
  397. 0X04,0X08,0X00,0X10,0X20,0X20,0X40,0X80,//
  398. 0X08,0X10,0X10,0X20,0X20,0X40,0X40,0X80,//
  399. 0X10,0X10,0X20,0X20,0X20,0X40,0X40,0X80,//
  400. 0X20,0X20,0X40,0X40,0X40,0X80,0X80,0X80,//
  401. 0X40,0X40,0X40,0X40,0X80,0X80,0X80,0X80,//

  402. 0X80,0X80,0X80,0X80,0X80,0X80,0X80,0X80,//8
  403. 0X80,0X80,0X80,0X80,0X40,0X40,0X40,0X40,//




  404. if(kxg==2)
  405. {
  406.   box(7,7,7,7-l,7-l,7-l,0,1);
  407.   result=voivol(16);
  408.   box(7,7,7,7-l,7-l,7-l,1,0);
  409. }
  410. if(kxg==3)
  411. {  box(7,0,7,0,l,0,0,1);
  412.   result=voivol(16);
  413.   box(l,0,l,0,7,0,1,0);          
  414. }
  415. if(kxg==4)
  416. {   
  417.   box(l,l,l,7-l,7-l,7-l,0,1);
  418.   result=voivol(16);
  419.   box(7-l,7-l,7-l,l,l,l,1,0);       
  420. }
  421. if(kxg==5)
  422. {
  423.   box(0,7,7,l,7-l,0,0,1);
  424.   result=voivol(16);
  425.   box(0,7,7,l,7-l,0,1,0);         
  426. }
  427. if(kxg==6)
  428. {
  429.   box(l,l,l,7-l,7-l,7-l,0,1);
  430.   result=voivol(16);
  431.   box(7-l,7-l,7-l,7,7,7,1,0);       
  432. }
  433. if(kxg==7)
  434. {
  435.   box(l,0,7,0,7,0,0,1);
  436.   result=voivol(16);
  437.   box(7,0,l,0,l,0,1,0);             
  438. }
  439.   n--;
  440. if(key2==3||key2==2){if(key2==3){msd=3;break;}
  441. else{if(key2==2){msd=2;break;}}}
  442. }
  443. }

  444. void Vol_watch_2()
  445. {
  446. unsigned int xdata result=0,l=0,n=500;
  447. while(n)
  448. {
  449.   if ((result>>5)>l)
  450.   l=result>>5;
  451.   else
  452.    if (l>0)
  453.     l--;
  454.   if (l>7)
  455.   l=7;
  456.   clear(0);
  457.   switch(l)
  458.   {
  459.    case 0:point(4,4,4,1);break;
  460.    case 1:box(3,3,3,4,4,4,1,1);break;
  461.    case 2:box(2,2,2,4,4,4,1,1);break;
  462.    case 3:box(2,2,2,5,5,5,1,1);break;
  463.    case 4:box(1,1,1,5,5,5,1,1);break;
  464.    case 5:box(1,1,1,6,6,6,1,1);break;
  465.    case 6:box(0,0,0,6,6,6,1,1);break;
  466.    default:box(0,0,0,7,7,7,1,1);
  467.   }
  468.   result=voivol(16);
  469.   n--;
  470.   if(key2==3||key2==2){if(key2==3){msd=3;break;}
  471. else{if(key2==2){msd=2;break;}}}
  472. }
  473. }
  474. void Vol_watch_3()
  475. {
  476. unsigned int result,l,n=500;
  477. while(n)
  478. {
  479.   result=voivol(3);
  480.   l=result>>3;
  481.   if (l>7)
  482.   l=7;
  483.   transss();
  484.   box_apeak_xy(0,0,0,0,7,l,1,1);
  485.   delayyp(60);
  486.   n--;
  487.   if(key2==3||key2==2){if(key2==3){msd=3;break;}
  488. else{if(key2==2){msd=2;break;}}}
  489. }
  490. }
  491. void init_uart(void)
  492. {
  493. PCON |= 0x80;
  494. SCON = 0x50;
  495. AUXR |= 0x40;
  496. AUXR &= 0xFE;
  497. TMOD &= 0x0F;
  498. TMOD |= 0x20;                                          
  499. TL1 = 0xE8;
  500. TH1 = 0xE8;
  501. ET1 = 0;
  502. TR1 = 1;
  503. ES=1;
  504. EA=1;
  505. }
  506. void sendbyteck(unsigned int num1,unsigned int num2,unsigned int num3,unsigned int num4)
  507. {
  508.     unsigned char c;
  509.     for(c=0;c<8;c++)
  510.    {
  511.         CLK=0;
  512.         M_LR_DS0=num1&0x01;
  513.         M_LG_DS0=num2&0x01;
  514.         M_HR_DS0=num3&0x01;
  515.         M_HG_DS0=num4&0x01;
  516.         CLK=1;
  517.         num1>>=1;
  518.         num2>>=1;
  519.         num3>>=1;
  520.         num4>>=1;
  521.     }
  522. }
  523. void key_shangwiej_scan()
  524. {
  525. if(!K2)  //如果检测到低电平,说明按键按下
  526.     {
  527.         if(msd==1||msd==2){TR0=0;}
  528.          delay10us();  //延时去抖,一般10-20ms
  529.      if(!K2)     //再次确认按键是否按下,没有按下则退出
  530.            {
  531.         while(!K2)//如果确认按下按键等待按键释放,没有则退出
  532.                {
  533.                    if(test==1){key1=1;}
  534.                    if(test==2){key2=3;}
  535.                    PWM_POW=1;      
  536.                    delay10us();        delay10us();delay10us();delay10us();        delay10us();delay10us();
  537.                    PWM_POW=0;      
  538.                          }
  539.            }
  540.         }
  541.         if(msd==1||msd==2){TR0=1;}
  542. }
  543. /*@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@*/
  544. void main()
  545. {
  546.         sinter();
  547.         clear(0);
  548.         PWM_LED();//开启PWM呼吸灯功能
  549.         turn_on(10);
  550.         H_scan(10);
  551.         V_scan(10);
  552.         W_scan(10);
  553.         PWM_POW=0;//打开电源指示灯
  554.         test=1;       
  555.         while(1)
  556.         {
  557.                 ld=500;
  558.                 H_scan(40);
  559.                 if(key1==1||key1==2||key1==3||key1==4){        break;}        V_scan(40);
  560.                 if(key1==1||key1==2||key1==3||key1==4)        {break;}W_scan(40);
  561.                 if(key1==1||key1==2||key1==3||key1==4)        {break;}}
  562.         while(1)
  563.         {
  564.                 if(key1==3)        {H_scan(40); } if(key1==2){V_scan(40); }if(key1==1){W_scan(40);        }if(key1==4){
  565.                 test=2;          
  566.                 msd=2;   
  567.                 ld=500;
  568.                 break; //退出测试功能
  569.                 }
  570.         }
  571.         delay(10);         TR0=0;       
  572.         while(1)  /*play list*/
  573.         {
  574.                 if(msd==1)         
  575.                 {       
  576.                         key3=3;       
  577.                         TR0=0;                          
  578.                         EA=0;
  579.                         xsdsq=1;
  580.                         hy(number+(36+1)*8,10);       
  581.                         W_side(number+(36+1)*8,1,200);
  582.                         xsdsq=0;
  583.                         PWM_POW=0;
  584.                         TR0=1;                                  //开启定时器显示扫描
  585.                         EA=1;
  586.                         test=2;
  587.                    while(1)
  588.                    {
  589.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  590.                                 else{if(key2==2){msd=2;break;}}}else{  clear(0); Vol_watch_0(1);}
  591.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  592.                                 else{if(key2==2){msd=2;break;}}}else{ clear(0); Vol_watch_0(2);}
  593.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  594.                                 else{if(key2==2){msd=2;break;}}}else{ clear(0); Vol_watch_0(3);        }
  595.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  596.                                 else{if(key2==2){msd=2;break;}}}else{ clear(0); Vol_watch_0(4);        }
  597.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  598.                                 else{if(key2==2){msd=2;break;}}}else{  clear(0); Vol_watch_0(5);}
  599.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  600.                                 else{if(key2==2){msd=2;break;}}}else{  clear(0); Vol_watch_0(6);}
  601.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  602.                                 else{if(key2==2){msd=2;break;}}}else{   clear(0); Vol_watch_0(7);}
  603.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  604.                                 else{if(key2==2){msd=2;break;}}}else{ clear(0);         Vol_watch_1(1);}
  605.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  606.                                 else{if(key2==2){msd=2;break;}}}else{ clear(0);         Vol_watch_1(2);}
  607.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  608.                                 else{if(key2==2){msd=2;break;}}}else{ clear(0); Vol_watch_1(3); }
  609.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  610.                                 else{if(key2==2){msd=2;break;}}}else{ clear(0);         Vol_watch_1(4);}
  611.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  612.                                 else{if(key2==2){msd=2;break;}}}else{ clear(0);         Vol_watch_1(5);}
  613.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  614.                                 else{if(key2==2){msd=2;break;}}}else{ clear(0);         Vol_watch_2();}
  615.                                 if(key2==3||key2==2){if(key2==3){msd=3;break;}
  616.                                 else{if(key2==2){msd=2;break;}}}else{ clear(0); Vol_watch_3();}
  617.                    }
  618.                 }
  619.                 if(msd==2)         
  620.                 {       
  621.                         key3=3;       
  622.                         xsdsq=1;
  623.                         hy(number+(36+2)*8,10);       
  624.                         W_side(number+(36+2)*8,1,200);
  625.                         xsdsq=0;
  626.                    while(1)
  627.                    {
  628.                                 msd=6;
  629.                                 TR0=0;                                  //关闭定时器显示扫描
  630.                                 EA=0;
  631.                                  flash_20();         //第一个面屏幕上下拉开
  632.                                 flash_21();         //从后面往前面显示数组的数字9-0
  633.                                 flash_22();         //围绕着最外面的LED循环滚动显示数组中内容
  634.                                 flash_23();         //分层升降左右上下前后以此打开升降测试
  635.                                  flash_24();  //从最上面的一个面往下面的一层移动完
  636.                                  flash_25();         //光立方全亮并且闪烁5次
  637.                                  flash_26();  //从下面往上面依次显示出数组中的内容IXU
  638.                                  flash_27();         //狼七八咋的显示出LED的每一个灯展示灯火效果
  639.                                  flash_28();         //显示从上面往下面滴水的效果
  640.                                  flash_29();         //将4个面围城圈圈然后旋转几次
  641.                                  flash_30();         //空心显示将数组内容打印出来可以打印4个数组内容
  642.                                  flash_31();         //将左右的四个面围绕成圈圈转起来4次之后从左边往右边显示数组中一幅图像64个的数据
  643.                                  flash_32();         //从左边显示一个面然后旋转出一个面的空心效果实心效果
  644.                                  flash_33();         //旋转单个面依次交换到买一个面
  645.                                  flash_34();         //以中点位轴进行选择左右旋转切换实现波纹的效果
  646.                                  flash_35();         //旋转左右为中心加快速度实现每个面的抖动效果
  647.                                 flash_36();         //将数组中的内容显示出现进行旋转是一个正方形的
  648.                                 flash_37();         //将方形变形缩小然后变成一个全亮的效果
  649.                                 flash_38();         //前后左右上下伸缩全亮
  650.                                 flash_39();         //实心和空心缩放和放大正方形全亮显示
  651.                                 flash_40();         //动画显示一个数组里面的心形数据 会动的心形
  652.                                 flash_41();         //显示一个电梯的图形
  653.                                 flash_42();         //显示数字往上移动出来
  654.                                 flash_15();  //显示下雨的效果
  655.                                    msd=2;                       
  656.                                 TR0=1;               
  657.                                 EA=1;
  658.                
  659.                 //显示中有些动画包含本店的数据如果不需要请自己将动画函数屏蔽
  660.                 //flash_14();flash_18();flash_12();
  661.                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  662.                                 else{if(key2==3){msd=3;break;}}}else{flash_1();}//包含第一个面显示4321倒计时的文字
  663.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  664.                                 else{if(key2==3){msd=3;break;}}}else{flash_17();}//显示出一个VI的版本标志然后从最里面往外面滚动出来
  665.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  666.                                 else{if(key2==3){msd=3;break;}}}else{flash_16();}//从边边的左右中滚动显示默认的英文字母ideasoft
  667.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  668.                                 else{if(key2==3){msd=3;break;}}}else{flash_14();}//一个一个的字变出来显示上升沿电子光立方可以修改显示数据
  669.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  670.                                 else{if(key2==3){msd=3;break;}}}else{flash_18();}//显示出上升沿电子8X8X8光立方下雨效果飘出字移动出去
  671.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  672.                                 else{if(key2==3){msd=3;break;}}}else{flash_2();}//图形圈圈显示出来
  673.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  674.                                 else{if(key2==3){msd=3;break;}}}else{flash_3();}//从前一排显示到后面一排两次
  675.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  676.                                 else{if(key2==3){msd=3;break;}}}else{flash_4();}//从前一排斜杆到最后一排两次
  677.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  678.                                 else{if(key2==3){msd=3;break;}}}else{flash_5();}//框框伸缩从中间到前面到后面
  679.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  680.                                 else{if(key2==3){msd=3;break;}}}else{flash_6();}//滚四边的圈圈然后下雨效果飘出字移动出去
  681.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  682.                                 else{if(key2==3){msd=3;break;}}}else{flash_7();}//滚四边包括前后左右滚然后出来半边三角形
  683.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  684.                                 else{if(key2==3){msd=3;break;}}}else{flash_8();}//从左移动一排到右边中间显示文字图形
  685.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  686.                                 else{if(key2==3){msd=3;break;}}}else{flash_9();}//从左到右边滚出斜杆然后螺旋转轴旋转框框显示方框伸缩孔空心和实心
  687.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  688.                                 else{if(key2==3){msd=3;break;}}}else{flash_10();}//从最左小脚伸缩出框框然后变轮子前后左右上下滚动然后收缩还原
  689.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  690.                                 else{if(key2==3){msd=3;break;}}}else{flash_11();}//象红旗一样被风飘动象蛇一样飘动走动
  691.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  692.                                 else{if(key2==3){msd=3;break;}}}else{flash_12();}//滚动上升沿电子的字模数据文字移动显示出来
  693.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  694.                                 else{if(key2==3){msd=3;break;}}}else{flash_13();}//渐变出来文字VI1234IXU的图案
  695.                                                 if(key2==1||key2==3){if(key2==1){msd=1;break;}
  696.                                 else{if(key2==3){msd=3;break;}}}else{flash_19();}//平行滑动显示出3D CULE FFT的字?
  697.                                 TR0=0;                       
  698.                                 EA=0;
  699.                                 PWM_POW=0;
  700.                         }
  701.                 }
  702.                 if(msd==3)         
  703.                 {
  704.                         key3=3;                               
  705.                         TR0=0;                         
  706.                         EA=0;
  707.                         xsdsq=1;
  708.                         hy(number+(36+3)*8,10);
  709.                         W_side(number+(36+3)*8,1,200);       
  710.                         xsdsq=0;
  711.                         PWM_POW=0;
  712.                         init_uart();
  713.                            test=2;
  714.                    while(1)
  715.                    {
  716.                                 fvb();
  717.                                 if(key2==1||key2==2){if(key2==1){msd=1;break;}
  718.                              else{if(key2==2){msd=2;break;}}}
  719.                         }
  720.                 }
  721.         }
  722. }
复制代码

所有资料51hei提供下载:
光立方综合功能源程序.zip (299.43 KB, 下载次数: 34)


评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏3 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:706008 发表于 2020-5-6 17:56 | 只看该作者
谢谢楼主 分享
回复

使用道具 举报

板凳
ID:953802 发表于 2021-7-18 17:52 | 只看该作者
程序坏了
可以在发一次吗
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表