找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2568|回复: 1
打印 上一主题 下一主题
收起左侧

我的单片机数字音乐盒设计思路 附仿真电路图 不含程序

[复制链接]
跳转到指定楼层
楼主
均为原创

数字音乐盒多数是考验对编程,逻辑,电路和硬件使用的熟练程度。国内的各大网站以及论坛都有非常成熟的程序和电路,程序不仅有C语言编写,还有VHDL等多种编写手法,硬件电路上主要使用的是STC51单片机,不过也存在使用一些门电路及数电中的电路连接方法。整体上还是STC51比较简便,成熟的技术在实物引用上也得到了广泛应用。
课题的研究方法
本课题采用“自主研究与借鉴”相结合的方法。根据设计要求,大概的构建自己的设计方案,之后再网络中调查一下相关的网站与论坛,吸收更加成熟的经验与设计思路。

根据该设计的硬件与软件的具体制作情况,该设计的章节安排如下所示:
第一章绪论,讲述了数字音乐盒的研究背景,大概了解数字音乐盒当下的现状,然后分析该设计具体在生活中实现的内容与意义,并且对其有简单的功能设计安排。

第二章系统方案设计,首先简述了任务书中所需要达到的要求和技术指标,然后再说明了整体的系统方案,之后再针对各个模块相关硬件进行分析和对比,最终确定每个模块主要硬件的型号,为接下来的电路设计做准备。
第三章系统硬件及软件设计,通过硬件选型后,开始着手对整个系统的电路设计,并且对各个电路模块等进行简单功能说明和电路设计,以及具有的电路图。系统软件设计,完成整体硬件电路的设计之后,研究不同硬件中的子程序设计以及对于整个设计的软件进行设计与流程图的介绍;
第四章系统仿真,软硬件都设计完成后,首先是先在仿真软件中进行仿真,对电路以及程序之间的不合适以及不美观的地方进行修改。

设计实现要求1.利用I/O口产生方波驱动蜂鸣器发出音调,演奏三种以上不同歌曲,每首不少于30S2.显示器开机显示“ready”,播放时显示正在播放的歌曲序号及名称。3.可通过按键切换、开始、暂停歌曲。4.一键开关机。2.2系统总体方案

根据要求,首先进行硬件电路的设计。时钟电路、复位电路、按键电路、蜂鸣器以及显示电路,把其放入一个电路。得到总体的电路。

3.2软件设计

软件设计主要在keil4中进行编程,新建一个工程,根据程序框图编写C语言程序,编写完进行编译以及修改,直到编译无错误生成HEX文件为止。

程序框图为:

图 3-2 1 系统程序流程图

程序的具体步骤如下:

定义所需外部接口:如图3-2 2定义外部接口程序

图 3-2 2 定义外部接口程序

初始化内部资源:如图3-2 3 初始化内部程序a、b

图 3-2 3 初始化内部资源a

图 3-2 4 初始化内部资源b

配置内部资源:如图3-2 5配置内部资源程序

图 3-2 5 配置内部资源

编写主体程序:如图 3-2 6 主程序

图 3-2 6 主程序

编译成功:无错误,无警告,生成HEX文件。如图 3-2 7

图 3-2 7 编译成功
第四章系统仿真

系统仿真是在Proteus8.9中进行,仿真的步骤是首先在proteus中将AT89C52,时钟电路、复位电路、按键电路,LCD所需要的元器件找到,然后根据程序进行连线,之后在keil4中编译成功的程序所生成的HEX文件导入AT89C52中,点击开始按钮即可进行仿真。

仿真开始,按下开始按钮lcd显示ready,准备开始,按下开始/暂停键,开始播放第一首音乐,第一首播放完毕自动切入第二首,依次播放,也可手动按下上一首/下一首按键切换。



对单片机的硬件设计,软件设计掌握的深度不够,但通过此次设计,却改变了很多,首先对于硬件电路的工作原理有了进一步的学习,同样就有了进一步的认识;其次软件方面,在程序的设计,程序的调试方面都学到了很多东西,这是第一次编写单片机的大程序,很有成就感。掌握了通过KEIL4进行程序编译,使用普中烧入软件在开发板上将程序烧入芯片以及 Proteus 软件仿真。通过单片机的设计,不断的发现错误,修改错误。


单片机源程序如下:
  1. //////////////////////////////////////////////
  2. //51单片机音乐播放器程序
  3. //AT89S52+11.0592MHz+无源蜂鸣器
  4. //共三个按键:上一曲、一下曲、播放\暂停
  5. //注意:按键必须按下约1S才有相应动作,播放完一首歌曲无按键按下时顺序播放

  6. //////////////////////////////////////////////
  7. #include<REG52.H>
  8. #include"lcd1602.h"
  9. #define sound_amount 5 //歌曲的数量
  10. sbit play_up=P3^2;           //上一首歌
  11. sbit play_down=P3^4;   //下一首歌
  12. sbit pause=P3^3;       //播放\暂停
  13. sbit speaker=P2^0;     //无源蜂鸣器
  14. //////////////////////////////////////////////
  15. unsigned char timer0h,timer0l,time;//timer0h,timer0l为定时器T0的高低位初值,time为对应的节拍时间
  16. unsigned char music_num;       //music_num为歌曲编号,music_num=0表示刚开机时的状态,num是查找歌曲数据表的地址
  17. //unsigned int num;
  18. signed int fre;                   //对应频率数据表的地址
  19. bit play_enable;                   //歌曲播放的使能标志位,用于播放\暂停
  20. void delay(unsigned char t);           //延时子函数,控制发音的时间长度
  21. void delayms(unsigned int t);           //普通延时子程序,可用于按键消抖
  22. void song(void);                           //演奏一个音符
  23. void music_play(void);                   //播放歌曲
  24. //////////////////////////////////////////////
  25. //每三个数字,代表一个音符
  26. //第一个数字是音符的数值1234567之一(第几个音),代表哆来咪发...
  27. //第二个数字是0123之一,代表低音\中音\高音\超高音(第几个八度)
  28. //第三个数字是时间长度,以半拍为单位,乐曲数据表的结尾是三个0
  29. //////////////////////////////////////////////

  30. //《烟花易冷》
  31. unsigned char code song1[]={
  32.      5,2,1, 3,2,1, 2,2,2, 2,2,4, 3,2,1, 1,2,1, 2,2,1, 3,2,4,
  33.          5,2,1, 3,2,1, 2,2,2, 2,2,2, 5,1,1, 3,2,1, 4,2,1, 3,2,4,
  34.          3,2,1, 3,2,1, 7,2,1, 3,2,1, 2,2,2, 1,2,1, 7,1,1, 1,2,1,
  35.          2,2,1, 3,2,1, 6,2,3, 6,1,1, 1,2,1, 3,2,1, 2,2,1, 6,1,1,
  36.          1,2,1, 7,1,1, 5,1,1, 6,1,6, 5,2,1, 3,2,1, 2,2,2, 2,2,1,
  37.          2,2,1, 3,2,1, 1,2,1, 2,2,1, 3,2,4, 5,2,1, 3,2,1, 2,2,2,
  38.          2,2,1, 2,2,1, 5,1,1, 3,2,1, 4,2,1, 3,2,4, 3,2,1, 3,2,1,
  39.          7,2,3, 3,2,1, 2,2,2, 1,2,1, 7,1,1, 1,2,1, 2,2,1, 3,2,1,
  40.          6,2,3, 6,1,1, 1,2,1, 3,2,1, 2,2,1, 6,1,1, 1,2,1, 7,1,2,
  41.          5,1,2, 6,1,6, 0,0,0 };

  42. //《发如雪》
  43. unsigned char code song2[]={
  44.      5,1,1, 2,2,1, 3,2,2, 2,2,1, 3,2,1, 5,2,1, 6,2,1, 5,2,3, 1,2,1, 2,2,1,
  45.          3,2,1, 6,2,1, 5,2,1, 3,2,1, 5,2,3, 5,2,1, 6,2,1, 1,3,2, 6,2,1, 5,2,1,
  46.          3,2,1, 5,2,1, 3,2,2, 1,2,1, 2,2,1, 3,2,1, 1,2,1, 6,1,1, 3,2,1, 2,2,1,
  47.          5,1,1, 2,2,1, 3,2,2, 2,2,1, 3,2,1, 5,2,1, 6,2,1, 5,2,3, 1,2,1, 2,2,1,
  48.          3,2,1, 6,2,1, 5,2,3, 5,2,1, 6,2,1, 1,3,2, 6,2,1,
  49.          5,2,1, 3,2,1, 5,2,1, 3,2,2, 1,2,1, 6,1,1, 3,2,1, 2,2,1, 1,2,1, 6,1,1,
  50.          1,2,1, 2,2,1, 2,2,1, 1,2,4, 0,0,0 };

  51. //《简单爱》
  52. unsigned char code song3[]={
  53.      5,1,1, 1,2,1, 2,2,1, 3,2,1, 2,2,1, 3,2,1, 4,2,1, 5,2,1, 5,2,1, 5,2,1,
  54.          4,2,1, 3,2,1, 2,2,3, 5,1,1, 1,2,1, 2,2,1, 3,2,1, 4,2,1, 5,2,1, 5,2,1,
  55.          5,2,1, 6,2,1, 5,2,2, 2,2,1, 3,2,1, 1,2,2, 1,2,1, 6,1,1, 2,2,1, 2,2,1,
  56.          3,2,1, 3,2,1, 1,2,1, 5,2,1, 1,2,1, 5,2,1, 1,2,1, 7,1,1, 1,2,1, 1,2,1, 6,1,1,
  57.          2,2,1, 2,2,1, 3,2,1, 3,2,1, 5,2,1, 5,2,1,
  58.          5,2,1, 6,2,1, 5,2,2, 2,2,1, 3,2,1, 1,2,2,  
  59.          5,1,1, 1,2,1, 2,1,2, 3,2,1, 2,2,1, 3,2,1, 4,2,1, 5,2,1, 5,2,1, 5,2,1,
  60.          4,2,1, 3,2,1, 2,2,3, 5,1,1, 1,2,1, 2,2,1, 3,2,1, 2,2,1, 3,2,1, 4,2,1,
  61.          5,2,1, 5,2,1, 5,2,2, 2,2,1, 3,2,1, 1,2,2, 1,2,1, 6,1,1, 2,2,1, 2,2,1,
  62.          3,2,1, 3,2,1, 1,2,2, 5,2,1, 1,2,1, 5,2,1, 5,2,1, 7,1,1, 1,2,1, 0,0,0 };

  63. //《世上只有妈妈好》
  64. unsigned char code song4[]={
  65.      6,2,3, 5,2,1, 3,2,2, 5,2,2, 1,3,2, 6,2,1,
  66.          //6,2,3代表6,中音,3个半拍;
  67.          //5,2,1代表5,中音,1个半拍;
  68.          //3,2,2代表3,中音,2个半拍;
  69.          //5,2,2代表5,中音,2个半拍;
  70.          //1,3,2代表1,高音,2个半拍;
  71.          //...
  72.      5,2,1,6,2,4, 3,2,2, 5,2,1, 6,2,1, 5,2,2, 3,2,2, 1,2,1,6,1,1, 5,2,1,
  73.          3,2,1, 2,2,4, 2,2,3, 3,2,1, 5,2,2, 5,2,1, 6,2,1, 3,2,2, 2,2,2,
  74.          1,2,4, 5,2,3, 3,2,1,2,2,1, 1,2,1, 6,1,1, 1,2,1, 5,1,6, 0,0,0};

  75. //《当你孤单你会想起谁》
  76. unsigned char code song5[]={
  77.      3,2,2, 3,2,1, 4,2,1, 3,2,2, 2,2,1, 1,2,1,  2,2,2, 5,2,2, 2,2,2, 2,2,3,
  78.          1,2,2, 1,2,1, 2,2,1, 1,2,2, 7,1,1, 6,1,1, 7,1,2, 3,2,2, 7,1,2, 7,1,3,
  79.          6,1,2, 2,2,1, 3,2,1, 2,2,1, 1,2,1, 6,1,2, 5,1,2, 2,2,1, 3,2,1, 2,2,1,
  80.          1,2,1, 6,1,2, 6,1,2, 2,2,1, 3,2,1, 2,2,1, 1,2,1, 6,1,1, 7,1,1, 1,2,6, 0,0,0 };

  81. //《乡间小路》
  82. unsigned char code song6[]={
  83.      3,1,2, 3,1,1, 3,1,1, 6,0,1, 6,0,1, 1,1,2,
  84.      6,0,1, 5,0,1, 6,0,4, 6,0,2, 6,0,1 ,6,0,1, 6,0,2, 6,0,1, 1,1,1,
  85.      2,1,2, 2,1,1, 3,1,1, 2,1,4, 3,1,1, 3,1,1, 3,1,1, 2,1,1, 4,1,2,
  86.      4,1,2, 3,1,2, 2,1,1, 1,1,1, 2,1,4, 7,0,1, 7,0,1, 7,0,1, 6,0,1,
  87.      5,0,1, 5,0,1, 6,0,1, 7,0,1, 7,0,1, 6,0,1, 5,0,1, 6,0,4, 6,1,2,
  88.      3,1,1, 6,1,1, 7,1,1, 6,1,1, 5,1,1, 5,1,2, 5,1,1, 2,1,1, 5,1,1,
  89.      6,1,1, 5,1,1, 4,1,1, 4,1,2, 4,1,1, 3,1,1, 2,1,2, 1,1,1, 2,1,1,
  90.      3,1,1, 2,1,1, 1,1,1, 2,1,1, 3,1,4, 6,1,2, 3,1,1, 6,1,1, 7,1,1,
  91.          6,1,1, 5,1,1, 5,1,2, 2,1,1, 5,1,1, 6,1,1, 5,1,1, 4,1,1, 0,0,0};     

  92. //《送别》
  93. unsigned char code song7[]={
  94.      5,1,2, 3,1,3, 5,1,1, 1,2,3, 6,1,2, 1,2,2,
  95.      5,1,4, 5,1,2, 1,1,1, 2,1,1, 3,1,2, 2,1,1, 1,1,1, 2,1,4, 5,1,2,
  96.          3,1,1, 5,1,1, 1,2,2, 7,1,1, 6,1,2, 1,2,2, 5,1,4, 5,1,2, 2,1,1,
  97.          3,1,1, 4,1,2, 7,0,1, 1,1,4, 6,1,2, 1,2,2, 1,2,4, 7,1,2, 6,1,1,
  98.          7,1,1, 1,2,4, 6,1,1, 7,1,1, 1,2,1, 6,1,1, 6,1,1, 5,1,1, 3,1,1,
  99.          1,1,1, 2,1,8, 5,1,2, 3,1,1, 5,1,1, 1,2,2, 7,1,1, 6,1,2, 1,2,2,
  100.          5,1,4, 5,1,2, 2,1,1, 3,1,1, 4,1,2, 7,0,2, 1,1,4, 0,0,0};

  101. //《最浪漫的事》
  102. unsigned char code song8[]={
  103.      5,1,1, 6,1,1, 1,2,1, 6,1,2, 6,1,1, 5,1,1, 6,1,1, 5,1,1, 3,1,1, 5,1,5,
  104.          5,1,1, 6,1,1, 1,2,1, 6,1,2, 6,1,1, 5,1,1, 6,1,1, 5,1,1, 6,1,1, 1,1,5,
  105.          1,1,1, 2,1,1, 3,1,1, 2,1,1, 2,1,1, 2,1,1, 1,1,1, 2,1,1, 1,1,1, 6,1,1,
  106.          3,1,2, 2,1,3, 5,1,1, 6,1,1, 1,2,1, 6,1,2, 6,1,1, 5,1,1, 6,1,1, 5,1,1,
  107.          6,1,1, 1,1,5, 1,1,1, 2,1,1, 3,1,1, 4,1,2, 4,1,1, 5,1,1, 6,1,1, 6,1,1,
  108.          5,1,1, 6,1,2, 1,2,1, 6,1,3, 1,2,1, 6,1,1, 5,1,1, 5,1,4, 1,1,1, 6,1,1,
  109.          5,1,5, 5,1,1, 6,1,1, 1,2,1, 3,1,1, 2,1,1, 3,1,1, 1,1,6, 0,0,0};

  110. // 频率-半周期数据表 高八位  共保存了四个八度的28个频率数据
  111. unsigned char code FREQH[]={
  112.     0xF2, 0xF3, 0xF5, 0xF5, 0xF6, 0xF7, 0xF8,    //低音1234567
  113.     0xF9, 0xF9, 0xFA, 0xFA, 0xFB, 0xFB, 0xFC, 0xFC,//1,2,3,4,5,6,7,i
  114.     0xFC, 0xFD, 0xFD, 0xFD, 0xFD, 0xFE,            //高音 234567
  115.     0xFE, 0xFE, 0xFE, 0xFE, 0xFE, 0xFE, 0xFF};   //超高音 1234567

  116. // 频率-半周期数据表 低八位
  117. unsigned char code FREQL[]={
  118.     0x42, 0xC1, 0x17, 0xB6, 0xD0, 0xD1, 0xB6,    //低音1234567
  119.     0x21, 0xE1, 0x8C, 0xD8, 0x68, 0xE9, 0x5B, 0x8F, //1,2,3,4,5,6,7,i
  120.     0xEE, 0x44, 0x6B, 0xB4, 0xF4, 0x2D,             //高音 234567
  121.     0x47, 0x77, 0xA2, 0xB6, 0xDA, 0xFA, 0x16};   //超高音 1234567  

  122. void main(void)
  123. {
  124.         init1602();
  125.         TMOD=0x11; //T0 T1 均在工作方式1
  126.         ET0=1;  //T0开中断
  127.         EA=1;   //CPU开中断
  128.         while(1)
  129.         {
  130.                 music_play();        //根据当前状态播放相应歌曲的某个音符
  131.                 write_num(13,music_num);
  132.                 if(!pause)                //暂停键处理
  133.                 {
  134.                 delayms(5);
  135.                 if(!pause)
  136.                 {
  137.                         if(music_num==0)    //music_num=0只有在刚开机,且未按下暂停键时存在,表示刚开机时的状态,按下后从第一首开始播放
  138.                         {
  139.                                 music_num=1;    //歌曲序号置1
  140.                                 num=0;                //从头播放
  141.                                 play_enable=1;        //允许播放
  142.                         }
  143.                         else
  144.                         {
  145.                                 play_enable=~play_enable;
  146.                             speaker=1;
  147.                         }
  148.                         while(!pause)         //若按着暂停键不放手时的处理
  149.                         {
  150.                         if(play_enable==0){}                //如果是暂停,则显示时间不变//(暂停时play_enable==0)
  151.                         }

  152.                   }//暂停键处理结束
  153.         }//while结束

  154.                 if((!play_up)&&(music_num!=0)) //上一首按键
  155.                 {
  156.                 delayms(5);
  157.                 if((!play_up)&&(music_num!=0))
  158.                 {   speaker=1;
  159.                         music_num-=1;//歌曲编号减一
  160.                         if(music_num<=0)
  161.                         music_num=8;
  162.                         num=0;                 //从头开始播放

  163.                         if(music_num==(sound_amount+1))
  164.                                 music_num=1;
  165.                         delayms(500);//歌曲切换时延时0.5S
  166.                 }        
  167.                 }

  168.                 if((!play_down)&&(music_num!=0))
  169.                 {
  170.                 delayms(5);
  171.                 if((!play_down)&&(music_num!=0))
  172.                 {   speaker=1;
  173.                         music_num+=1;//歌曲编号加一
  174.                         if(music_num>=9)
  175.                         music_num=1;
  176.                         num=0;                  //从头开始播放

  177.                         if(music_num==0)
  178.                                 music_num=sound_amount;
  179.                         delayms(500);//歌曲切换时延时0.5S
  180.                 }
  181.                 }

  182.         }
  183. }

  184. void delayms(unsigned int t)           //MS延时子程序
  185. {
  186.         unsigned int i,j;
  187.         for(i=0;i<t;i++)
  188.         {
  189.                 for(j=0;j<123;j++)
  190.                         ;
  191.         }
  192. }

  193. void delay(unsigned char t)            //延时子函数,控制发音的时间长度,每个节拍0.4S
  194. {
  195.         unsigned char t1;
  196.         unsigned long t2;
  197.         for(t1=0;t1<t;t1++)               //嵌套循环, 共延时t个半拍
  198.         {
  199.             for(t2=0;t2<8000;t2++) //延时期间, 可进入T0中断去发音
  200.         {
  201.                 ;
  202.         }
  203.         }
  204.         TR0=0;                               //关闭T0, 停止发音
  205. }

  206. void timer0(void) interrupt 1  //T0中断程序,控制发音的音调
  207. {
  208.         speaker=!speaker;//输出方波, 发音
  209.         TH0=timer0h;         //下次的中断时间,这个时间控制音调高低
  210.         TL0=timer0l;
  211. }

  212. void song(void)          //演奏一个音符
  213. {
  214.         TH0=timer0h;    //控制音调
  215.         TL0=timer0l;
  216.         TR0=1;                    //启动T0, 由T0输出方波发音
  217.         delay(time);    //每个音符的演奏时间
  218. }
  219. ////////////////////////////////////////////////////////////////////////////////////
  220. void music_play(void)//播放相应歌曲的某个音符
  221. {
  222.         if((music_num==1)&&(play_enable==1))
  223.         {
  224.                 fre=song1[num]+7*song1[num+1]-1;//第i个是音符,第i+1个是第几个八度
  225.                 timer0h=FREQH[fre];                    //从数据表中读出频率数值,实际上是定时的时间长度
  226.             timer0l=FREQL[fre];
  227.             time=song1[num+2];              //读出时间长度数值
  228.             num+=3;
  229.                 if(fre<0)                //判断歌曲的结束位,结束后转到下一首
  230.                 {
  231.                         num=0;            //下一首从头播放
  232.                         music_num=2;
  233.             }
  234.                 song();                //发出一个音符
  235.         }
  236. ////////////////////////////////////////////////////////////////////////////////////
  237.         if((music_num==2)&&(play_enable==1))
  238.         {  
  239.                 fre=song2[num]+7*song2[num+1]-1;
  240.                 timer0h=FREQH[fre];
  241.             timer0l=FREQL[fre];
  242.             time=song2[num+2];
  243.             num+=3;
  244.                 if(fre<0)
  245.                 {
  246.                         num=0;
  247.                         music_num=3;
  248.             }
  249.                 song();               
  250.         }
  251. ////////////////////////////////////////////////////////////////////////////////////
  252.                 if((music_num==3)&&(play_enable==1))
  253.         {  
  254.                 fre=song3[num]+7*song3[num+1]-1;
  255.                 timer0h=FREQH[fre];
  256.             timer0l=FREQL[fre];
  257.             time=song3[num+2];
  258.             num+=3;
  259.                 if(fre<0)
  260.                 {
  261.                         num=0;
  262.                         music_num=4;
  263.             }
  264.                 song();               
  265.         }
  266. ////////////////////////////////////////////////////////////////////////////////////
  267.         if((music_num==4)&&(play_enable==1))
  268.         {
  269.                 fre=song4[num]+7*song4[num+1]-1;
  270.                 timer0h=FREQH[fre];
  271.             timer0l=FREQL[fre];
  272.             time=song4[num+2];
  273.             num+=3;
  274.                 if(fre<0)
  275.                 {
  276.                         num=0;
  277.                         music_num=5;
  278.             }
  279.                 song();               
  280.         }
  281. ////////////////////////////////////////////////////////////////////////////////////
  282.         if((music_num==5)&&(play_enable==1))
  283.         {
  284.                 fre=song5[num]+7*song5[num+1]-1;
  285.                 timer0h=FREQH[fre];
  286.             timer0l=FREQL[fre];
  287.             time=song5[num+2];
  288.             num+=3;
  289.                 if(fre<0)
  290.                 {
  291.                         num=0;
  292.                         music_num=6;
  293.             }
  294.                 song();               
  295.         }
  296. ////////////////////////////////////////////////////////////////////////////////////
  297.         if((music_num==6)&&(play_enable==1))
  298.         {
  299.                 fre=song6[num]+7*song6[num+1]-1;
  300.                 timer0h=FREQH[fre];
  301.             timer0l=FREQL[fre];
  302.             time=song6[num+2];
  303.             num+=3;
  304.                 if(fre<0)
  305.                 {
  306.                         num=0;
  307.                         music_num=7;
  308.             }
  309.                 song();               
  310.         }
  311. ////////////////////////////////////////////////////////////////////////////////////
  312. if((music_num==7)&&(play_enable==1))
  313.         {
  314.                 fre=song7[num]+7*song7[num+1]-1;
  315.                 timer0h=FREQH[fre];
  316.             timer0l=FREQL[fre];
  317.             time=song7[num+2];
  318.             num+=3;
  319.                 if(fre<0)
  320.                 {
  321.                         num=0;
  322.                         music_num=8;
  323.             }
  324.                 song();               
  325.         }
  326. ////////////////////////////////////////////////////////////////////////////////////
  327. if((music_num==8)&&(play_enable==1))
  328.         {
  329.                 fre=song8[num]+7*song8[num+1]-1;
  330.                 timer0h=FREQH[fre];
  331.             timer0l=FREQL[fre];
  332.             time=song8[num+2];
  333.             num+=3;
  334.                 if(fre<0)
  335.                 {
  336.                         num=0;
  337.                         music_num=1;
  338.             }
  339.                 song();               
  340.         }
  341.         if(music_num==0)
  342.     lcd1602_write_character(0,1,"ready");
  343.         else if(music_num==1)        
  344.         lcd1602_write_character(0,1,"yhyl "),
  345.         lcd1602_write_character(0,2,"xuhao:");//门铃标号
  346.         else if(music_num==2)        
  347.         lcd1602_write_character(0,1,"faruxue"),
  348.         lcd1602_write_character(0,2,"xuhao:");//门铃标号
  349.         else if(music_num==3)        
  350.         lcd1602_write_character(0,1,"jiandanai"),
  351.         lcd1602_write_character(0,2,"xuhao:");//门铃标号
  352.         else if(music_num==4)        
  353.         lcd1602_write_character(0,1,"sszymmh  "),
  354.         lcd1602_write_character(0,2,"xuhao:");//门铃标号
  355.         else if(music_num==5)        
  356.         lcd1602_write_character(0,1,"dngdnhxqs"),
  357.         lcd1602_write_character(0,2,"xuhao:");//门铃标号
  358.         else if(music_num==6)        
  359.     lcd1602_write_character(0,1,"xjxl     "),
  360.         lcd1602_write_character(0,2,"xuhao:");//门铃标号
  361.         else if(music_num==7)        
  362.         lcd1602_write_character(0,1,"songbie  "),
  363.         lcd1602_write_character(0,2,"xuhao:");//门铃标号
  364.         else if(music_num==8)        
  365.         lcd1602_write_character(0,1,"zlmds    "),
  366.         lcd1602_write_character(0,2,"xuhao:");//门铃标号
  367. ////////////////////////////////////////////////////////////////////////////////////
  368. }
  369. //程序结束

复制代码
为了防止有人照搬,附件中是不包含源程序LCD1602.h头文件的,所以无法编译,如有错误,请大家多多指教:
附件不含代码,只有仿真图和Word.7z (994.79 KB, 下载次数: 24)

评分

参与人数 1黑币 +30 收起 理由
admin + 30 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏1 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:928640 发表于 2021-7-17 11:15 来自手机 | 只看该作者
你好,我想问一下,你的电源接口是用的什么封装类型啊?他是怎么跟电路相接的?
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表