找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 552|回复: 0
打印 上一主题 下一主题
收起左侧

请教一下verilog问题Sequence Generator Next with Boolean Expressions

[复制链接]
跳转到指定楼层
楼主
ID:624818 发表于 2019-10-16 04:43 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
Sequence Generator Next with Boolean Expressions
Complete the next_pattern module for the following sequence using Boolean expressions. A manual check will be performed later.
The next_pattern module should be designed by:
  • Drawing the state graph.
  • Deriving the next state table.
  • Using K-maps to simplify the function.
Exercise 2 [5.0]

LOCK


The next_pattern module provides the next state function for a Finite State Machine (FSM) that generates the following sequence of patterns:
7, 0, 14, 11, 13, 6, 2, 5, 1The first pattern follows the last pattern.
Any patterns not in the sequence should be considered as do not cares. The next function will not be tested for these patterns.
Editor

Test BenchSimulation OutputRun
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表