找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 941|回复: 0
打印 上一主题 下一主题
收起左侧

VHDL 7段显示译码程序设计

[复制链接]
跳转到指定楼层
楼主
ID:438444 发表于 2018-12-3 15:24 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY DecL777 IS
PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
          LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));
END ENTITY DecL777;
ARCHITECTURE one OF DecL777 IS
BEGIN
PROCESS(A)
BEGIN
                CASE A(3 DOWNTO 0) IS
                  WHEN "0000"=>LED7S<="0111111";
                  WHEN "0001"=>LED7S<="0000110";
                  WHEN "0010"=>LED7S<="1011011";
                  WHEN "0011"=>LED7S<="1001111";
                  WHEN "0100"=>LED7S<="1100110";
                  WHEN "0101"=>LED7S<="1101101";
                  WHEN "0110"=>LED7S<="1111101";
                  WHEN "0111"=>LED7S<="0000111";
                  WHEN "1000"=>LED7S<="1111111";
                  WHEN "1001"=>LED7S<="1101111";
                  WHEN "1010"=>LED7S<="1110111";
                  WHEN "1011"=>LED7S<="1111100";
                  WHEN "1100"=>LED7S<="0111001";
                  WHEN "1101"=>LED7S<="1011110";
                  WHEN "1110"=>LED7S<="1111001";
                  WHEN "1111"=>LED7S<="1110001";
                  WHEN OTHERS=>LED7S<="0000000";
END CASE;
END PROCESS;
END ARCHITECTURE one;        



分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表