找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1367|回复: 0
打印 上一主题 下一主题
收起左侧

哪位大神可以帮我看看,这个程序到底哪里错了,FPGA24小时计数器(包括倒计时)

[复制链接]
跳转到指定楼层
楼主
ID:406907 发表于 2018-11-4 18:14 来自手机 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
module lll(clk,clr,qs,qf,qm,cout,js,jf,jm,en,sel);
input clr,clk,js,jf,jm,en,sel;
output  [7:0]   qs,qf,qm;
reg     [7:0]   qs,qf,qm;
reg             clkf,clks;
output reg      cout;
always @(posedge clk^jm or negedge clr)
begin
         if(~clr)  qm=1;
                        else    begin       
         if(en) begin
        if(sel)  begin                       
                        if (qm==0) qm='h59;
                        else  qm=qm+1;
                        if (qm[3:0]=='hf)   begin
                        qm[3:0]=9; qm[7:4]=qm[7:4]-1;end
                        if(qm=='h0)   clkf=1;
                  else clkf=0; end
end
end               
end                       
always @(posedge clkf^jf or negedge clr)
begin
         if(~clr)  qf=1;
                         else  begin         
                        if (qf==0)  qf='h59;
                        else qf=qf-1;  
                        if (qf[3:0]=='hf)   begin
                        qf[3:0]=9; qf[7:4]=qf[7:4]-1;end                       
                        if(qf=='h0)   clks=1;
                        else  clks=0;end                        
end                       
always @(posedge clks^js or negedge clr)
begin
         if(~clr)  qs=1;
                        else  begin               
                        if (qs==0) qs='h23;
                        else  qs=qs+1;
                        if (qs[3:0]=='hf)   begin
                        qs[3:0]=9; qs[7:4]=qs[7:4];end
                        if(qm=='h00) begin
         if(qf=='h00)
                   if(qs=='h00)           cout=1;end
                        else   cout=0; end                          
end       
          //减法
      else begin
                always @(posedge clk^jm or negedge clr)
      begin
         if(~clr)  qm=1;
                        else    begin       
         if(en) begin                            
                        if (qm=='h59) qm=0;
                        else  qm=qm+1;
                        if (qm[3:0]=='ha)   begin
                        qm[3:0]=0; qm[7:4]=qm[7:4]+1;end
                        if(qm=='h0)   clkf=1;
                  else clkf=0; end
end               
end               
always @(posedge clkf^jf or negedge clr)
begin
         if(~clr)  qf=1;
                         else  begin         
                        if (qf=='h59)  qf=0;
                        else qf=qf+1;  
                        if (qf[3:0]=='ha)   begin
                        qf[3:0]=0; qf[7:4]=qf[7:4]+1;end                       
                        if(qf=='h0)   clks=1;
                        else  clks=0;end                        
end                       
always @(posedge clks^js or negedge clr)
begin
         if(~clr)  qs=1;
                        else  begin               
                        if (qs=='h23) qs=0;
                        else  qs=qs+1;
                        if (qs[3:0]=='ha)   begin
                        qs[3:0]=0; qs[7:4]=qs[7:4];end
                        if(qm=='h59) begin
         if(qf=='h59)
                   if(qs=='h23)           cout=1;end
                        else   cout=0; end                                  
end

endmodule
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表